转载请标明出处

用户自定义和枚举数据类型

1. 用户自定义类型(typedef)

局部typedef定义:只用于设计的特定部分时,typedef的定义可在module或interface中

共享typedef定义:当在多个模型中使用时,typedef的定义可在包中进行,             module,interface,program block可以通过导入包子项来使用typedef定义

Eg:

package chip_types;

`ifdef TWO_STATE

typedef bit dtype_t;  //当宏定义TWO_STATE时定义dtype_t为bit类型

`else

typedef logic dtype_t;

`endif

Endpackage

import chip_types::dtype_t; // import 定义 into $unit

module counter

(output dtype_t [15:0] count,

Input dtype_t clock, resetN);

...

Endmodule

2. 枚举数据类型(enum)

想给数值一个label时,Verilog和SV会采取不同的方法:

Verilog:

用parameter/`define来定义一组常数或者宏名。

Eg:`define FETCH 3'h7 //宏定义

module controller (output reg read...);

parameter LOAD = 0;      //常数定义

always @(State) begin

if (State == LOAD && instruction == `FETCH)    //使用label

...

Endmodule

SV:

使用枚举enum

Eg:  package chip_types;

typedef enum {FETCH, WRITE, ADD, SUB,MULT, DIV, SHIFT, NOP }instr_t;

//用户自定义枚举类型instr_t

Endpackage

import chip_types::*; //import包定义到$unit编译单元域

module controller (input instr_t instruction,...);       //input instr_t类型instruction

enum {WAITE, LOAD, STORE} State, NextState;    //枚举类型State, NextState,

//他俩的值只能是{}中的label

always_comb begin

if (State == LOAD && instruction == FETCH)  //使用label

...

Endmodule

从包中导入enum时,只导入定义名时不会自动导入枚举值标签。所以一般用*导入整个包或者显示导入每个标签。

.2.1. 枚举类型标签序列

state

创建单个标签state

state[N]

创建标签序列 state0,state1, ... stateN-1

state[N:M]

创建标签序列,由stateN开始,到stateM(正反向不存在)

2.2. 枚举类型标签作用域

enum列表中的label在其作用域内必须是唯一的(label唯一)

这里的作用域包括:$unit, modules, interfaces, programs,

begin...end blocks, fork...join blocks, tasks and functions

.2.3. 枚举类型值

枚举类型值在没有显示指定时,默认int从0自加。且label的值也要唯一(value唯一)

Eg:  enum {A=1, B, C, X=24, Y, Z} list;             //A=1,B=2,C=3,X=24,Y=25,Z=26

.2.4. 枚举类型的基类

枚举类型的默认基类(base type)是int,也可以对枚举类型的基类进行显示声明

Eg:

enum logic [1:0] {WAITE, LOAD, READY} state;    //2位宽的枚举类型,四态基类

注意label数涉及到value的值,要与基类类型匹配。

.2.5. 自定义枚举类型

typedef enum {WAITE, LOAD, READY} states_t;     //自定义枚举类型states_t

states_t state, next_state;  //声明枚举state,next_state

同类型枚举变量可以互相赋值

2.6. 枚举类型的专用系统任务(system tasks)和方法(method)

方法(method):SV提供了一些能自动处理enum的内置函数

调用方式(类似C++):

<enum_variable_name>.first:返回指定变量枚举列表的第一个成员的值

<enum_variable_name>.last:返回指定变量枚举列表的最后一个成员的值

<enum_variable_name>.next(<N>):从枚举变量的当前位置算起,返回后面第N个 成员的值。如果枚举变量当前值不在枚举变量列表中,则返回列表中第一 个成员的value。<N>可缺省。缺省时返回枚举列表中下一个成员的值。

<enum_variable_name>.prev(<N>):从枚举变量的当前位置算起,返回前面第N个 成员的值。如果枚举变量当前值不在枚举变量列表中,则返回列表中最后 一个成员的value。<N>可缺省。缺省时返回枚举列表中前一个成员的值。

<enum_variable_name>.num:返回变量的枚举列表中元素个数

<enum_variable_name>.name:返回枚举变量中代表这个value的字符串

Systemverilog for design 笔记(三)的更多相关文章

  1. Systemverilog for design 笔记(六)

    转载请标明出处 第一章 有限状态机建模(FSM,finite state machine) 1.1.    使用枚举类型建立状态机模型 l  三过程块建模风格:三个过程块分别实现: a.状态转换(al ...

  2. Systemverilog for design 笔记(七)

    转载请标明出处 第一章 接口(interface) 1.1.    接口的概念 接口允许许多信号合成一组由一个端口表示. 1.2.    接口声明 //接口定义 Interface main_bus ...

  3. Systemverilog for design 笔记(五)

    转载请标明出处 第一章 System Verilog过程块.任务和函数 1.1.    verilog通用目的always过程块(procedural block)(可综合) always过程块的综合 ...

  4. Systemverilog for design 笔记(四)

    转载请标明出处 数组.结构体和联合体 1. 结构体(struct) 1.1. 结构体声明 结构体默认是变量,也可以声明为线网 var struct { // 通过var进行结构体变量声明 logic ...

  5. SystemVerilog for design 笔记(二)

    转载请标明出处 1. System Verilog文本值和数据类型 1.1. 增强的文本值赋值 相对于verilog,SV在文本值赋值时可以1.无需指定进制    2.赋值可以是逻辑1 用法: reg ...

  6. Systemverilog for design 笔记(一)

    转载请标明出处 一.     System Verilog 声明的位置 1.       包(packages) Verilog要求局部声明: variables, nets, tasks and f ...

  7. Oracle学习笔记三 SQL命令

    SQL简介 SQL 支持下列类别的命令: 1.数据定义语言(DDL) 2.数据操纵语言(DML) 3.事务控制语言(TCL) 4.数据控制语言(DCL)  

  8. 《CMake实践》笔记三:构建静态库(.a) 与 动态库(.so) 及 如何使用外部共享库和头文件

    <CMake实践>笔记一:PROJECT/MESSAGE/ADD_EXECUTABLE <CMake实践>笔记二:INSTALL/CMAKE_INSTALL_PREFIX &l ...

  9. Mastering Web Application Development with AngularJS 读书笔记(三)

    第一章笔记 (三) 一.Factories factory 方法是创建对象的另一种方式,与service相比更灵活,因为可以注册可任何任意对象创造功能.例如: myMod.factory('notif ...

随机推荐

  1. 「JSOI2015」symmetry

    「JSOI2015」symmetry 传送门 我们先考虑构造出原正方形经过 \(4\) 种轴对称变换以及 \(2\) 种旋转变换之后的正方形都构造出来,然后对所得的 \(7\) 个正方形都跑一遍二维哈 ...

  2. swift中的可选类型

    可选类型也是Swift语言新添加的对象.主要是为了解决对象变量或常量为空的情况.在前面定义的变量和常量都不能为空.里面必须要有值. Swift中的可选类型则允许变量(常量)中没有值(被设为nil).要 ...

  3. tp5 自定义公共函数,前台模板调用

    最近用tp5做一个cms,在添加模型的时候,选择类型,这类型太多了,如果一个个的去判断显示,能累死人了,干脆写个公共方法, 首先写公共方法用到Common.php,目录project/applicat ...

  4. vue 中监听页面滚动

    监听页面滚动 在methods中定义一个方法 handleScroll() { //获取滚动时的高度 let scrollTop = window.pageYOffset || document.do ...

  5. appium 无法通过工具定位webview页面元素的问题

    app里面页面有原生和webview的,或者H5的 1.手机百度搜索结果页面 手机百度,点击搜索输入框,输入关键字点击搜索,出来的搜索结果页面,无法通过UI automator viewer来定位元素 ...

  6. ListView 基础列表组件、水平 列表组件、图标组件

    一.Flutter 列表组件概述 列表布局是我们项目开发中最常用的一种布局方式.Flutter 中我们可以通过 ListView 来定义 列表项,支持垂直和水平方向展示.通过一个属性就可以控制列表的显 ...

  7. 【PAT甲级】1083 List Grades (25 分)

    题意: 输入一个正整数N(<=101),接着输入N个学生的姓名,id和成绩.接着输入两个正整数X,Y(0<=X,Y<=100),逆序输出成绩在x,y之间的学生的姓名和id. tric ...

  8. 【JavaWeb+Echarts+EL表达式】用图表形式展示数据

    1. Echarts环境配置 https://www.echartsjs.com/zh/download.html 选择需要的,然后等待Build完成之后,就会自动弹出下载框啦! 把下载好的js放在w ...

  9. 页面弹窗toast和加载loading

    create-at 2019-04-04 都采用单例模式,原生js实现 兼容老版本浏览器内核,请将用es6语法的地方作修改 loading 加载 代码: 样式全部通过js创建style标签注入head ...

  10. Spring Boot 使用 JAX-WS 调用 WebService 服务

    除了 CXF 我们还可以使用 Spring Boot 自身默认的组件 JAX-WS 来实现 WebService 的调用. 本项目源码 github 下载 1 新建 Spring Boot Maven ...