Vivado 常见报错
1、[Synth 8-2543] port connections cannot be mixed ordered and named
说明例化时最后一个信号添加了一个逗号。
2、
原因:报告说明有一个管脚没有进行分配。
3、
从文件列表中发现
当一些文件的路径改变后,原来文件路径因为找不到文件的就会报红,新的文件不会自动替换原来的文件,这一点一定要注意,一定要手动删除。
4、
把约束文件.xdc内关于DEGUG core的信息全部删除后保存,再运行软件,弹出的界面话询问是save,还是load.
5、
在运行程序的时候点击,就会停止运行,所以一般不要点。
[Place 30-574] Poor placement for routing between an IO pin and BUFG. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule.
< set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets u5_adc_module/adc1_in_clk_in] >
u5_adc_module/u1_IBUFGDS_inst (IBUFDS.O) is locked to IOB_X1Y146 and u5_adc_module/u1_BUFG_inst (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y31
该问题的解决办法:set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets u5_adc_module/adc1_in_clk_in]
[Drc 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 5 out of 89 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1]. NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: led_alm, led_iso, led_agc, dn_pa_sw, up_pa_sw. 几个信号没有分配引脚
[IP_Flow 19-3805] Failed to generate and synthesize debug IP "xilinx.com:ip:xsdbm:1.0".
ERROR: [IP_Flow 19-167] Failed to deliver one or more file(s).
ERROR: [Common 17-680] Path length exceeds 260-Byte maximum allowed by Windows: f:/Company_Prj/Date201509/xilinx_ics_100t/xilinx_wireless_ics_prj0915/xilinx_wireless_35t_prj0915/xilinx_wireless_35t_prj0915.runs/impl_1/.Xil/Vivado-12912-RD-PC/dbg_hub_CV.0/run/.srcs/sources_1/ip/dbg_hub_CV/fifo_generator_v12_0/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd
[Chipscope 16-119] Implementing debug core dbg_hub failed.
ERROR: Could not generate core for dbg_hub. Aborting IP Generation operation.
ERROR: [Chipscope 16-218] An error occurred while trying to create or get a cached instance from the IP cache manager:
"IP generation failed see log file in f:/Company_Prj/Date201509/xilinx_ics_100t/xilinx_wireless_ics_prj0915/xilinx_wireless_35t_prj0915/xilinx_wireless_35t_prj0915.runs/impl_1/.Xil/Vivado-12912-RD-PC/dbg_hub_CV.0/out
ERROR: [IP_Flow 19-167] Failed to deliver one or more file(s).
ERROR: [Common 17-680] Path length exceeds 260-Byte maximum allowed by Windows: f:/Company_Prj/Date201509/xilinx_ics_100t/xilinx_wireless_ics_prj0915/xilinx_wireless_35t_prj0915/xilinx_wireless_35t_prj0915.runs/impl_1/.Xil/Vivado-12912-RD-PC/dbg_hub_CV.0/run/.srcs/sources_1/ip/dbg_hub_CV/fifo_generator_v12_0/hdl/fifo_generator_v12_0_vhsyn_rfs.vhd
"
解决问题的办法:由于文件路径太长。把文件路径改短
=======================================================================================================
# ** Error: ./../../../H27V0-1SC-V02.srcs/sources_1/ip/coe_fifo/fifo_generator_v12_0/hdl/fifo_generator_v12_0.vhd(46)): in protected region.
# ** Error: ./../../../H27V0-1SC-V02.srcs/sources_1/ip/coe_fifo/fifo_generator_v12_0/hdl/fifo_generator_v12_0.vhd(46)): in protected region.
# ** Error: ./../../../H27V0-1SC-V02.srcs/sources_1/ip/coe_fifo/fifo_generator_v12_0/hdl/fifo_generator_v12_0.vhd(46)): in protected region.
对于这样的问题目前只能重建工程了
这种情况说明抓数据的文件和当前开发板中的文件是不对应的,应该重新烧写程序
Vivado 常见报错的更多相关文章
- web报表工具FineReport使用中遇到的常见报错及解决办法(二)
web报表工具FineReport使用中遇到的常见报错及解决办法(二) 这里写点抛砖引玉,希望大家能把自己整理的问题及解决方法晾出来,Mark一下,利人利己. 出现问题先搜一下文档上有没有,再看看度娘 ...
- java常见报错及解决
Java常见报错信息: Java 常见异常种类 Java Exception: 1.Error 2.Runtime Exception 运行时异常 3.Exception 4.throw 用户自定 ...
- HDFS集群常见报错汇总
HDFS集群常见报错汇总 作者:尹正杰 版权声明:原创作品,谢绝转载!否则将追究法律责任. 一.DataXceiver error processing WRITE_BLOCK operation 报 ...
- 03:git常见报错解决方法
1.1 git常见报错解决方法 1.warning: LF will be replaced by CRLF in .idea/workspace.xml. 参考博客:https://www.cnbl ...
- JavaScript 调试常见报错以及原因
JavaScript 调试常见报错以及原因 测试环境 chrome 版本 66.0.3359.170(正式版本) (64 位) TypeError 类型错误 不是操作符所接受的数据类型. //---- ...
- Nginx 常见报错
Nginx 常见报错 启动报错:[emerg]: bind() to 0.0.0.0:80 failed (98: Address already in use) 原因:这个是nginx重启时经常遇到 ...
- Django 连接 MySQL 数据库及常见报错解决
目录 Django 连接 MySQL数据库及常见报错解决 终端或者数据库管理工具连接 MySQL ,并新建项目所需数据库 安装访问 MySQL 的 Python 模块 Django 相关配置 可能会遇 ...
- C语言开发中常见报错的解决方案
C语言开发中常见报错的解决方案 整理来源于网络,侵权请通知删除.*禁止转载 ---- fatal error C1003: error count exceeds number; stopping c ...
- Git常用命令及常见报错:You have not concluded your merge (MERGE_HEAD exists)、清理无效的远程追踪分支
一.常用命令 切换到master分支:git checkout master 查看已有本地及远程分支:git branch -a(先git pull拉下全部数据) 查看远程分支:git branch ...
随机推荐
- JavaScript中有三个可以对字符串编码的函数,分别是: escape(),encodeURI(),encodeURIComponent()
JavaScript中有三个可以对字符串编码的函数,分别是: escape,encodeURI,encodeURIComponent,相应3个解码函数:unescape,decodeURI,decod ...
- HTML meta 文本 格式排版 链接图表 列表 表单 frame后台布局实例
meta标签 content属性必须和http-equiv或者name属性一起使用 http-equiv属性,就是http当量,用于和服务器发送数据前的提交交互使用.(另层含义这个当量在浏览器和服务器 ...
- 在SQL Server中使用CLR调用.NET方法
介绍 我们一起来做个示例,在.NET中新建一个类,并在这个类里新建一个方法,然后在SQL Server中调用这个方法.按照微软所述,通过宿主 Microsoft .NET Framework 2 ...
- 如何写一个自定义的js文件
自定义一个Utils.js文件,在其中写js代码即可.如: (function(w){ function Utils(){} Utils.prototype.getChilds = function( ...
- Week4-作业1:阅读笔记与思考
我在这三天时间里阅读了<构建之法>的第四章和第十七章,产生了一些疑问和深层次的思考. 第四章 问题1: 书中第68页提到“注释(包括所有源代码)应该只用ASCII字符,不要用中文或其他特殊 ...
- Oracle to_date()函数的用法《转载》
to_date()是Oracle数据库函数的代表函数之一,下文对Oracle to_date()函数的几种用法作了详细的介绍说明, 原文地址:http://database.51cto.com/art ...
- LuoguP1126 机器人搬重物(BFS)
题目链接:https://www.luogu.org/problemnew/show/P1126 思路:很不错的搜索题,用BFS,虐了我1天多才A掉 QAQ,细节很多. 1.每个状态包含行.列.方向. ...
- np.identity()
二.np.identity()这个函数和之前的区别在于,这个只能创建方阵,也就是N=M 函数的原型:np.identity(n,dtype=None) 参数:n,int型表示的是输出的矩阵的行数和列数 ...
- Honeycomb
Honeycomb http://codeforces.com/gym/102028/problem/F time limit per test 4.0 s memory limit per test ...
- 一个性能较好的JVM参数配置
一个性能较好的web服务器jvm参数配置: -server//服务器模式-Xmx2g //JVM最大允许分配的堆内存,按需分配-Xms2g //JVM初始分配的堆内存,一般和Xmx配置成一样以避免每次 ...