1.rvseed_defines.v(定义了一些参数,没有实际意义)

  该文件定义了一些基本参数,在后续的代码中都会调用该文件

// simulation clock period
`define SIM_PERIOD 20 // 20ns -> 50MHz // processor
`define CPU_WIDTH 32 // 32位的CPU // instruction memory
`define INST_MEM_ADDR_DEPTH 1024
`define INST_MEM_ADDR_WIDTH 10 // 2^10 = 1024

  因为设计的是32位的CPU,所以CPU的深度CPU_WIDTH为32。

2.inst_mem.v(指令存储器)

`include "rvseed_defines.v"
module inst_mem (
input [`CPU_WIDTH-1:0] curr_pc, // current pc addr,当前的pc值
output reg [`CPU_WIDTH-1:0] inst // instruction,输出的指令
); reg [`CPU_WIDTH-1:0] inst_mem_f [0:`INST_MEM_ADDR_DEPTH-1]; //存储器的位宽和处理器的位宽一致,深度设置为1024,因此地址位宽应该为10 //根据当前的pc值取出存储器对应地址的数据
always @(*) begin
inst = inst_mem_f[curr_pc[`INST_MEM_ADDR_WIDTH+2-1:2]];
end
endmodule

  模块的输入为curr_pc,即当前的pc值,输出为inst,是输出的指令,两个量都是32位的;

  定义了一个1024*32的一个存储器inst_mem,因为这里设计一个处理器,所以直接定义即可,这样会消耗逻辑资源,实际情况是使用现存的存储资源;

  inst从inst_mem中取指令,关于这行代码,我的理解是:

/*
这里为什么是INST_MEM_ADDR_WIDTH+2-1这样写,是因为比如一开始curr_pc的值是32个0,取他的[11:2]位,
这样就是相当于inst = inst_mem_f[0],正好取到第一行的32位的指令(inst_mem_f是一个1024*32的列表),
因此,如果是要取到第二个指令,那么就应该让curr_pc+4,也就是变成0000....010,这样他的[11:2]就成了000..01
正好取到了inst_mem_f[1],也就是下一条指令,即第二个指令
*/ /*
+2是因为指令输出只需要11:2位,后两位是数据存储所用到的(这里up主没有说,我是看评论区的)
*/

3.pc_reg.v(程序计数器)

//程序计数器,告诉处理器现在执行哪条指令
`include "rvseed_defines.v" module pc_reg (
input clk, // system clock
input rst_n, // active low reset
output reg ena, // system enable
input [`CPU_WIDTH-1:0] next_pc, // next pc addr
output reg [`CPU_WIDTH-1:0] curr_pc // current pc addr
); //控制处理器的运行和暂停
always @ (posedge clk or negedge rst_n) begin
if(~rst_n)
ena <= 1'b0;
else
ena <= 1'b1;
end always @ (posedge clk or negedge rst_n) begin
if(~rst_n)
curr_pc <= `CPU_WIDTH'b0; //复位,则回到第一条指令的位置
else
curr_pc <= next_pc; //将当前的pc值更新为外部提供的next_pc,next_pc由mux模块提供
end endmodule

  ena是使能信号,决定处理器的运行和暂停(这在下一个mux_pc模块中体现)

  第二个模块是pc值的更新,复位则回到第一条指令,否则将当前的pc值更新为next_pc。

4.mux_pc.v(多路选择器)

`include "rvseed_defines.v"

module mux_pc (
input ena,
input branch, // branch type
input zero, // alu result is zero
input jump, // jump type
input [`CPU_WIDTH-1:0] imm, // immediate
input [`CPU_WIDTH-1:0] curr_pc, // current pc addr
output reg [`CPU_WIDTH-1:0] next_pc // next pc addr
); always @(*) begin
if (~ena) //复位,使能为0无效,则pc值不做更新,相当于是在暂停
next_pc = curr_pc;
else if (branch && ~zero) // bne:分支跳转
next_pc = curr_pc + imm; //将当前的指令值和指令中的立即数相加,作为一个新的指令值的
else if (jump) // jal :跳转和链接
next_pc = curr_pc + imm; //将当前的指令值和指令中的立即数相加,作为一个新的指令值的
else //标准情况:pc值不做跳转也不做保留,则将当前的pc值加4,作为下一个pc,相当于读取下一条指令,为什么加4看inst-mem中有解释的
next_pc = curr_pc + `CPU_WIDTH'h4;
end
endmodule

  因为下一条指令next_pc会受到不同因素的影响,因此由一个多路选择器进行裁决。

Rong晔大佬教程学习(2):取指的更多相关文章

  1. .NetCore微服务Surging新手傻瓜式 入门教程 学习日志---先让程序跑起来(一)

    原文:.NetCore微服务Surging新手傻瓜式 入门教程 学习日志---先让程序跑起来(一) 写下此文章只为了记录Surging微服务学习过程,并且分享给广大想学习surging的基友,方便广大 ...

  2. .Net程序员之Python基础教程学习----列表和元组 [First Day]

    一. 通用序列操作: 其实对于列表,元组 都属于序列化数据,可以通过下表来访问的.下面就来看看序列的基本操作吧. 1.1 索引: 序列中的所有元素的下标是从0开始递增的. 如果索引的长度的是N,那么所 ...

  3. objective-c基础教程——学习小结

    objective-c基础教程——学习小结   提纲: 简介 与C语言相比要注意的地方 objective-c高级特性 开发工具介绍(cocoa 工具包的功能,框架,源文件组织:XCode使用介绍) ...

  4. JSON 教程学习进度备忘

    书签:跳过:另外跳过的内容有待跟进 __________________ 学习资源:W3School. _________________ 跳过的内容: 1. ______________ 知识点:1 ...

  5. JavaScript 教程学习进度备忘(二)

    备忘:之前,只将“JS 教程”学习完毕,这篇记录:“JS HTML DOM ”.“JS 对象”.“JS Window”.“JS 库” 书签:跳过:另外跳过的内容有待跟进 _______________ ...

  6. jfinal框架教程-学习笔记

    jfinal框架教程-学习笔记 JFinal  是基于 Java  语言的极速  WEB  + ORM  开发框架,其核心设计目标是开发迅速.代码量少.学习简单.功能强大.轻量级.易扩展.Restfu ...

  7. Webpack新手入门教程(学习笔记)

    p.p1 { margin: 0.0px 0.0px 0.0px 0.0px; text-align: center; font: 30.0px Helvetica; color: #000000 } ...

  8. 【前端,干货】react and redux教程学习实践(二)。

    前言 这篇博文接 [前端]react and redux教程学习实践,浅显易懂的实践学习方法. ,上一篇简略的做了一个redux的初级demo,今天深入的学习了一些新的.有用的,可以在生产项目中使用的 ...

  9. MapServer Tutorial——MapServer7.2.1教程学习——第一节用例实践:Example1.7 Adding a wms layer

    MapServer Tutorial——MapServer7.2.1教程学习——第一节用例实践:Example1.7 Adding a wms layer 前言 Add OGC WMS Layers( ...

  10. MapServer Tutorial——MapServer7.2.1教程学习——第一节用例实践:Example1.6 Defining Projections and Extents

    MapServer Tutorial——MapServer7.2.1教程学习——第一节用例实践:Example1.6 Defining Projections and Extents 一.前言 当在m ...

随机推荐

  1. Git-入门使用资料

    一.Git入门教程 Git入门视频,针对于小白快速入门,时常约2~3小时 Git入门视频 相关课件资料: https://pan.baidu.com/s/1U-s4OmkToXJ5Y7BbJ7w2Ww ...

  2. The database operation was expected to affect 1 row(s), but actually affected 0 row(s); 解决乐观并发

    The database operation was expected to affect 1 row(s), but actually affected 0 row(s); 解决乐观并发 1.乐观并 ...

  3. 使用 docker 打包构建部署 Vue 项目,一劳永逸解决node-sass安装问题

    文章源于 Jenkins 构建 Vue 项目失败,然后就把 node_modules 删了重新构建发现 node-sass 安装不上了,折腾一天终于可以稳定构建了. 犹记得从学 node 的第一天,就 ...

  4. Longest Divisors Interval

    Smiling & Weeping ----总有一个人, 一直住在心底, 却消失在生活里. Given a positive integer n, find the maximum size ...

  5. App性能指标(安装、冷启动、卸载、平均内存/cpu/fps/net)测试记录

    [需求背景] 需要针对产品以及竞品做出横向对比,输出对应的比对测试报告,供产研进行产品性能优化依据 [测试方案] 对于主流的厂商和系统版本进行多维度的横向对比 厂商:华为系.小米系.蓝绿系.三星系.苹 ...

  6. 2023年最新版Apollo保姆级使用手册(超级详尽版本)

    目录 Apollo操作说明 前言 Apollo环境部署 一.环境构建 二.官方地址 三.数据库脚本使用 四.配置Apollo文件 五.启动Apollo 六.访问Apollo Apollo产品使用 一. ...

  7. 记录 Ucharts 的使用

    1.开启 2d 渲染 线上运行开启 canvas2d 可以解决图表显示问题 <qiun-data-charts canvas2d .../> canvasId 可以不传,官方内置生成随机字 ...

  8. that of

    that of : 1. 代替签名的某个内容 The size of China is bigger than that of USA. (that of = the size of ) 2. 代指 ...

  9. Flink测试利器之DataGen初探

    什么是 Flinksql Flink SQL 是基于 Apache Calcite 的 SQL 解析器和优化器构建的,支持ANSI SQL 标准,允许使用标准的 SQL 语句来处理流式和批处理数据.通 ...

  10. Java 深度优先搜索 and 广度优先搜索的算法原理和代码展示

    111. 二叉树的最小深度 题目:给定一个二叉树,找出其最小深度.最小深度是从根节点到最近叶子节点的最短路径上的节点数量. 说明:叶子节点是指没有子节点的节点. 方法1:深度优先搜索 原理:深度优先搜 ...