BEL: Basic Element of Logic,

  BEL是最底层的基本元素,也可以叫atomic unit(原子单位),BEL是FPGA中最小、不可分割的组件。

ACP:Accelerator Coherency Port,加速器一致性接口。

AMBA: Advanced Microcontroller Bus Architecture,

  AMBA是一种高性能、高带宽、低延迟的片内总线,也用来替代以前的 AHB 和 APB 总线。

APB:Advanced Peripheral Bus,先进外围总线,属于AMBA总线协议的一种。

  在APB总线中,只有唯一一个Master,即APB Bridge, 其他的外围设备如I2C,SPI,UART均为Slave。其中高速总线往往采用 AHB 或者 ASB协议,而低速总线一般使用 APB 协议,两种总线之间使用转接桥(bridge)模块完成协议转换

APU:(Application Processor Unit),应用处理器单元

  曾经AMD公司用它来特指加速处理器(Accelerated Processing Units),但是在ZYNQ上的意思就截然不同,注意区分。APU包含了双ARM-CortexA9核,加上高速缓冲,DMA,定时器,中断控制,浮点和NEON协处理,可以类比51单片机中的MCU,Cortex-M系列的STM32上的MPU来理解。

  但是APU这个称呼还是有说法的,没有了M就说明意图摆脱微处理器Micro的名头,换上Application就不简单了,说明在上面可以跑应用程序, 暗示着这个系统是需要全尺寸的操作系统的。

AXI: Advanced eXtensible Interface,

  AXI是 Xilinx 从 6 系列的 FPGA 开始引入的一个接口协议,主要描述了主设备和从设备之间的数据传输方式。

CMT:Clock Management Tile, 时钟管理模块/单元
 

DCI: 数字控制阻抗

DPA:动态相位调节

ELF:Executable and Linkable Format,可执行与可链接格式

  ELF文件由4部分组成,分别是ELF头(ELF header)、程序头表(Program header table)、节(Section)和节头表(Section header table)。实际上,一个文件中不一定包含全部内容,而且它们的位置也未必如同所示这样安排,只有ELF头的位置是固定的,其余各部分的位置、大小等信息由ELF头中的各项值来决定。

  通俗来说,就是二进制程序。ELF 规定了这二进制程序的组织规范,所有以这规范组织的文件都叫 ELF 文件。

EMIO:(Extendable Multiuse I/O),可拓展多功能IO引脚。

  EMIO依然属于PS部分,但是连接到了PL上,再从PL的引脚连到芯片外面实现数据输入输出。如果MIO不够用的话, PS 可以通过驱动 EMIO 控制 PL 部分的引脚 。EMIO 有 64 个引脚可供使用。

EPP:Extensible Processing Platform,可扩展式处理平台。

  Zynq-7000 系列是 Xilinx 推出的首款可扩展式处理平台 (EPP)。这种新型产品将业界标准的 ARM 双核 Cortex-A9 MPCore 处理系统与 Xilinx 一体化 28nm 架构完美整合在一起。这种以处理器为核心的架构不但能够实现 FPGA 的高度灵活性和可扩展性,同时还能带来类似于 ASIC 的高性能和低功耗,以及 ASSP 的易用性。

Gbe: Gigabit Ethernet,吉比特以太网或称千兆以太网

HP I/O: High-Performance I/O, HP接口为高速接口,用于存储器或者芯片与芯片之间的接口。

HR I/O: High-Range I/O, HR可以接受很宽的电平标准。

IOB: I/O Bank,

IP Core: intellectual property core,知识产权核

MIO:Multiuse I/O),多功能IO引脚。

  MIO属于PS部分,也就是ARM部分,MIO 信号对 PL部分是不可见的,所以对 MIO 的操作可以看作是纯 PS 的操作。

MMCM: Mixed-mode Clock Manager,混合模式的时钟管理器

MRCC: Multi Region Clock-caple,

SCU:Snoop Control Unit,用来保持双核之间的数据Cache的一致性

  两个ARM-Cortex A9,如果一个写存储时只写进缓存,没写进主存,如果第二个A9读操作,涉及到第一个写脏了的数据段, SCU要保证第二个A9的缓存里是最新的数据。 SCU的存在,才使得两个核成互相联系的“双核”,才能成为MPsoc。

SelectIO: 就是I/O接口以及I/O逻辑的总称

  SelectIO引脚可以被配置成各种I/O标准,包括单端和差分。

  • 单端I/O标准(如LVCMOS、LVTTL、HSTL、PCI和SSTL)。
  • 差分I/O标准(例如,LVDS、Mini_LVDS、RSDS、PPDS、BLVDS和差分HSTL和SSTL)。
  In 7 series devices, an I/O bank consists of 50 IOBs.

SRCC: Single Region Clock-caple,

  有7系列的FPGA中才有MRCC和SRCC的说法,到了UltraScale系列,都是叫GC

SWDT:系统级看门狗定时器,
  这个看门狗的时钟和复位信号,都可以来自于芯片外部, 这样,即使系统有严重故障,比如时钟频率本身都有问题了,仍然可以通过与系统无关的外部信号计数,计数满就复位。

TTC:Triple Time Counter,直译就是三倍时间计数器

  其实是这个计数器内有3个独立通道,可以独立计数。挂在APB上,为系统或外设提供定时或计数服务的。

UTMI:USB2.0 Transceiver Macrocell Interface,USB2.0收发器宏单元接口

ULPI:UTMI+Low Pin Interface,ULPI是UTMI的Low Pin版本

VIP: Verification Intellectual Property,验证的知识产权

WDT:看门狗定时器,有两个, 分别监视ARM-Cortex A9用的。

  如果软件跑飞,无法清定时器,一段时间后,看门狗就复位。

参考文章:

ZYNQ中PS、PL的含义

BEL

Xilinx-英文缩写的更多相关文章

  1. Xilinx下载安装与在win10闪退问题解决方法

    Xilinx的14.4版本的下载链接(百度云的上传了N多次都提示失败,所以就换了360云盘上传) https://yunpan.cn/cPHKLjbX9RueM (提取码:2a5a)下载后解压到以下目 ...

  2. (原创)提取Xilinx开发工具的迅雷下载地址

    ①进入Xilinx官网,进入Device->Design Tools,选择你想要下载的任意工具. ②进入新web页面,右方点击“Downloads”.③进入版本选择页面,选择想要的版本号,点击相 ...

  3. (原创)Xilinx的ISE生成模块ngc网表文件

    ISE中,右击“Synthesize”,选中“Process Properties”,将“Xilinx Specific Options:-iobuf”的对勾取消. 将取消模块的ioBuff,因为模块 ...

  4. Xilinx FPGA全局时钟和全局时钟资源的使用方法

    对FPGA的全局时钟了解不多,遂转载一篇文档: http://xilinx.eetop.cn/?action-viewnews-itemid-42 目前,大型设计一般推荐使用同步时序电路.同步时序电路 ...

  5. Xilinx DCM 使用---- 输出频率问题

    最近在使用Xilinx FPGA验证项目,使用DCM将50M晶振分频得到20M时钟.但是下载代码到板子上验证,发现板子完全不工作. 然后 测量时钟,发现根本就没有20M时钟.查找资料,以及跟以前项目对 ...

  6. PCI Express(五) - Xilinx wizard

    原文地址:http://www.fpga4fun.com/PCI-Express5.html Xilinx makes using PCI express easy - they provide a ...

  7. [Xilinx]Modelsim独立仿真Vivado生成的PLL核

    EDA Tools: 1.Vivado 2015.1(64-bit) 2.Modelsim SE-64 10.1c Time: 2016.05.26 ------------------------- ...

  8. (转)modelsim10.0C编译ISE14.7的xilinx库(xilinx ip核)

    原地址modelsim10.0C编译ISE14.7的xilinx库(xilinx ip核)   1.打开D:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\compxlibgui.e ...

  9. Xilinx SDK Problem Solution in Ubuntu

    Problem1: Documention and Example can't open, Xilinx SDK  Ubuntu.   Step1: Click the Document link o ...

  10. modelsim10.0C编译ISE14.7的xilinx库(xilinx ip核)

    1.打开D:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\compxlibgui.exe,nt64表示系统是64位,如果是32位,换成nt,然后按照界面所示一步一步执行, 2.修改 ...

随机推荐

  1. python路径含空格导致打开文件报错

    2023.02.14更新: python中使用os.listdir不行,但是可以用os.walk,可以绕过空格的问题. 在windows中总有奇怪的问题,比如路径或文件名带空格,打开文件就会报错. 一 ...

  2. Python中文官方文档

    Python 2.7.18 的中文文档:  https://docs.python.org/zh-cn/2.7/ Python 3.10.6 的官方文档:https://docs.python.org ...

  3. npm发包

    1.npm init 2.注册npm,https://www.npmjs.com/ 3.npm需要更新最新的npm 4.npm login 5.npm publish --access=public

  4. 关于paddleocr2.6 布局分析的踩坑总结(一)

    8月24日paddleocr发布了2.6.0,之前使用过2.5版本的布局分析,整体比较好用.近期就尝试了一下paddleocr的新版本,记录一下尝鲜经历.2.6版本的公告中指出,布局分析模型缩小了95 ...

  5. 真的,Web安全入门看这个就够了!

    一.HTTP协议 1.HTTP 什么是HTTP? 超文本传输协议,HTTP是基于B/S架构进行通信的,而HTTP的服务器端实现程序有httpd.nginx等,其客户端的实现程序主要是Web浏览器,例如 ...

  6. node版本和用的包不兼容问题,头疼

    经常遇到node版本和包不兼容的问题,在茫茫大海中学习的时候发现一个nvm,可以随时切换node版本,简直不要太开心,附上流程 环境windows 首先:下载一个nvm包https://github. ...

  7. mysql误删数据恢复

    1.查看binlog是否开启# log_bin是ON,就说明打开了 OFF就是关闭状态,以下操作,只有为 ON 时有效.show variables like 'log_bin';2.找到binlog ...

  8. docker、Containerd ctr、crictl 区别

    简述 作为接替 Docker 运行时的 Containerd 在早在 Kubernetes1.7 时就能直接与 Kubelet 集成使用,只是大部分时候我们因熟悉 Docker,在部署集群时采用了默认 ...

  9. 编译报错Could NoT find Threads (missing: Threads FOUND)

    解决方法1: 原来的命令行加上-lpthread 解决方法2: -DCMAKE_THREAD_LIBS_INIT=-lpthread

  10. K8S-kubeadm集群安装

    K8S-kubeadm集群安装 一.环境准备 1.服务器信息 2.系统初始(所有服务器) 2.1修改主机名 hostnamectl set-hostname <主机名> 2.2添加主机ho ...