VHDL_ADC之cic_diffcell
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all; library edclib;
use edclib.pkg_xxxlib.all; -- package from company
8 --! pipelined comb-chain for cic_filter
entity cic_diffcell is
generic
(
Width : natural := ; --! width
M : natural := --! difference fifo depth
);
port
(
RST : in std_logic; --! Async Reset
C : in std_logic; --! Clock
R : in std_logic; --! needed to clear registers during sw-reset
CEI : in std_logic; --! new data on input
DIN : in std_logic_vector(Width- downto ); --! data in
CEO : out std_logic; --! new data on output
DOUT : out std_logic_vector(Width- downto ) --! data out
);
end cic_diffcell; 27 --! pipelined comb-chain for cic_filter
architecture asic of cic_diffcell is
type data_type is array (natural range <>) of std_logic_vector( Width- downto ); --! set of registers
signal reg : data_type(M downto ); --! delay register (index 0 means DIN)
signal diff : std_logic_vector( Width- downto ); --! subtraction begin reg() <= din when r='' else (Width- => '', others => ''); 37 --! stage delay
gdel: for i in to M generate
UDEL : REGDCE generic map(Width) port map(RST,C,CEI,reg(i-),reg(i));
end generate; 42 --subtract
diff <= std_logic_vector( signed(din) - signed(reg(m)) ) when r='' else (others => ''); 45 --Output-Reg (Sysclk delay)
UOREG: REGDCE generic map(Width) port map(RST,C,CEI, diff, DOUT); 48 --CE-chain
UCE: FFD port map(RST,C,CEI,CEO); end asic;
VHDL_ADC之cic_diffcell的更多相关文章
随机推荐
- 给nginx生成自签名证书
https://blog.csdn.net/qq_26819733/article/details/53431662 https://www.liaoxuefeng.com/article/00141 ...
- Oracle创建表空间、用户名、密码步骤教程
第一步,以最高级别 SYSDBA 身份登录数据库 cmd 进入命令行 登录方式一: C:\Documents and Settings\Administrator>sqlplus sys/sys ...
- 1048 石子归并codevs
1048 石子归并codevs 题目描述 Description 有n堆石子排成一列,每堆石子有一个重量w[i], 每次合并可以合并相邻的两堆石子,一次合并的代价为两堆石子的重量和w[i]+w[i+1 ...
- WPS for Linux字体配置(Ubuntu 16.04)
错误提示: 解决方法: 从http://bbs.wps.cn/thread-22355435-1-1.html下载字体库,离线版本:(链接: https://pan.baidu.com/s/1i5dz ...
- ci 在阿里云访问的时候404
最近想把ci 弄到阿里云去,结果发现,本地好好的,到了阿里云就404了.网上查了下 原来是 配置文件的问题. 配置文件就是 vhost下面的 **.conf文件 要有这个才行 location / ...
- HDUJ 2070 Fibbonacci Number
Fibbonacci Number Time Limit: 1000/1000 MS (Java/Others) Memory Limit: 32768/32768 K (Java/Others ...
- 转 java中5种创建对象的方法
作为Java开发者,我们每天创建很多对象,但我们通常使用依赖管理系统,比如spring去创建对象.然而这里有很多创建对象的方法,我们会在这篇文章中学到. Java中有5种创建对象的方式,下面给出它们的 ...
- python 验证码 高阶验证
python 验证码 高阶验证 标签: 验证码python 2016-08-19 15:07 1267人阅读 评论(1) 收藏 举报 分类: 其他(33) 目录(?)[+] 字符型图片验证 ...
- UVA 11423 - Cache Simulator (树状数组)
UVA 11423 - Cache Simulator (树状数组) option=com_onlinejudge&Itemid=8&category=523&page=sho ...
- 逆向工程之App脱壳
http://www.cnblogs.com/ludashi/p/5725743.html iOS逆向工程之App脱壳 本篇博客以微信为例,给微信脱壳."砸壳"在iOS逆向工程中是 ...