library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all; library edclib;
use edclib.pkg_xxxlib.all;  -- package from company

8 --! pipelined comb-chain for cic_filter
entity cic_diffcell is
generic
(
Width : natural := ; --! width
M : natural := --! difference fifo depth
);
port
(
RST : in std_logic; --! Async Reset
C : in std_logic; --! Clock
R : in std_logic; --! needed to clear registers during sw-reset
CEI : in std_logic; --! new data on input
DIN : in std_logic_vector(Width- downto ); --! data in
CEO : out std_logic; --! new data on output
DOUT : out std_logic_vector(Width- downto ) --! data out
);
end cic_diffcell; 27 --! pipelined comb-chain for cic_filter
architecture asic of cic_diffcell is
type data_type is array (natural range <>) of std_logic_vector( Width- downto ); --! set of registers
signal reg : data_type(M downto ); --! delay register (index 0 means DIN)
signal diff : std_logic_vector( Width- downto ); --! subtraction begin reg() <= din when r='' else (Width- => '', others => ''); 37 --! stage delay
gdel: for i in to M generate
UDEL : REGDCE generic map(Width) port map(RST,C,CEI,reg(i-),reg(i));
end generate; 42 --subtract
diff <= std_logic_vector( signed(din) - signed(reg(m)) ) when r='' else (others => ''); 45 --Output-Reg (Sysclk delay)
UOREG: REGDCE generic map(Width) port map(RST,C,CEI, diff, DOUT); 48 --CE-chain
UCE: FFD port map(RST,C,CEI,CEO); end asic;

VHDL_ADC之cic_diffcell的更多相关文章

随机推荐

  1. QT .pro文件的学习收获

    1. 载pro文件预定义宏: CONFIG(debug,debug|release){ DEFINES+=__DEBUG__ }else{ DEFINES+=__RELEASE__ macx:DEST ...

  2. poj——1330 Nearest Common Ancestors

    Nearest Common Ancestors Time Limit: 1000MS   Memory Limit: 10000K Total Submissions: 30082   Accept ...

  3. 洛谷—— P2047 社交网络

    P2047 社交网络 题目描述 在社交网络(social network)的研究中,我们常常使用图论概念去解释一些社会现象.不妨看这样的一个问题.在一个社交圈子里有n个人,人与人之间有不同程度的关系. ...

  4. 武大OJ 574. K-th smallest

    Description Give you a number S of length n,you can choose a position and remove the number on it.Af ...

  5. 21、Java并发性和多线程-Java中的锁

    以下内容转自http://ifeve.com/locks/: 锁像synchronized同步块一样,是一种线程同步机制,但比Java中的synchronized同步块更复杂.因为锁(以及其它更高级的 ...

  6. 《Linux内核分析》MOOC课程

    http://www.cnblogs.com/wickedpriest/p/4315189.html

  7. 墨卡托坐标与LBS应用

    今天了解到这边的LBS应用,一般用的是墨卡托坐标. 也就是商品库的商品入库的时候,会根据输入,使用百度地图提供的一个API,来转换成一个墨卡托坐标. 然后用户流量过来的时候,会带来历史坐标,和当前坐标 ...

  8. 使用NDIS驱动监測以太网络活动

    转载自: http://blog.csdn.net/ddtpower/article/details/656687   本论文提供了NDIS的主要的理解,应用程序怎样与驱动程序交互.发挥驱动程序最佳性 ...

  9. 百度LBS云搜索时报错 &quot;filter:area is not filteable field, please set property in the cloud-storage

    {"status":2,"message":"filter:area is not filteable field, please set prope ...

  10. mybatis Generator生成代码及使用方式(转载)

    转载自:http://www.cnblogs.com/fengzheng/p/5889312.html 文章很棒,很不错,转了.