debussy与modelsim的联调设置
前段时间看到网上有人在使用debussy软件对Verilog代码进行调试,而且都称赞其是多么的好用,看着很是馋人,说吧,现在用的是quartus与modelsim的联调,似乎还是可以的,但就是每次稍微改一下代码,想要添加一些输出信号的时候,又得改很多地方,而且仿真时间也越来越长,尤其是现在自己做的设计是越来越大,想着这个没接触过的debussy软件究竟怎么个好法,能不能解决我的这些问题呢?不管怎样,试一试就知道了,但每次安装软件的时候,就要破解啊什么的,找各种资料,很是麻烦,但是我的好奇心呢又迫使我硬着头皮搞这个软件,今天整整搞了一天,总算是,搞定了,debug的好日子就在后头了哦。下面记录一些中间的步骤。实现了不打开modelsim的GUI,使用批处理文件,执行之后,就可以出现debussy的界面了。
一、关于debussy软件破解
用ultra edit 的hex模式将后面5个文件中的55 8B EC 81 EC 90 01 00 00 C7 45 FC 替换为33 C0 C3 81 EC 90 01 00 00 C7 45 FC,这五个文件是debussy.exe、nce2report.exe、nCompare.exe、netlistcom.exe、snslmgrd.exe测试过了,这种破解方法绝对可行。这五个.exe的路径是在安装盘的C:\Novas\Debussy\bin,打开进行替换即可。
二、更改配置:
1 安装、和谐软件。略。
2 拷贝文件..\Novas\Debussy\share\PLI\modelsim_pli\WINNT\novas.dll至文件夹..\modeltech_6.5\win32。
3 取消文件..\modeltech_6.5\modelsim.ini的只读属性后,打开。
找到 “; Veriuser = veriuser.sl”替换为“Veriuser = novas.dll”,(这里一定要注意前面的分号也要删除掉,否则无法正确运行,在这里差点吃大亏)
保存;关闭;设为只读。
配置完,以后就一劳永逸了。
三、然后是各个文件的安排,在一个主文件夹里,新建文件夹rtl,用来放置设计文件和testbench文件,与其并行放置的是rtl.f、run.bat、sim.do三个文件,可以参考下图:
1、编写欲仿真的文件和testbench放在rtl文件夹中,在写testbench时,除遵守一般规则外,需要在其中另外添加如下代码,用来产生debussy所需要的波形文件。
// dump fsdb file for debussy
initial begin
$fsdbDumpfile("wave.fsdb");
$fsdbDumpvars;
end
2、编写HDL文件列表文件,供ModelSim和Debussy使用。
rtl.f如下:
rtl/cmd_control.v
rtl/cmd_control_test.v
rtl/cmd_gen.v
rtl/cmd_send.v
rtl/data_detect.v
rtl/data_process.v
rtl/miller2_dec.v
rtl/uart_tx.v
3、编写ModelSim命令行脚本文件。
sim.do如下:
vlib work
vlog -f rtl.f
vsim work.cmd_control_tst
run 1000ms
quit
4、编写批处理脚步文件,调用命令行ModelSim生成波形文件,再调用Debusyy查看。
run.bat如下:
::关闭回显
@ECHO OFF
::设置软件路径
SET debussy=C:\Novas\Debussy\bin\Debussy.exe
SET vsim=D:\modeltech_6.5g\win32\vsim.exe
::ModelSim Command
%vsim% -c -do sim.do
::删除ModelSim生成的相关文件
RD work /s /q
DEL transcript vsim.wlf /q
::Debussy Command
%bussy% -f rtl.f -ssf wave.fsdb -2001
::删除波形文件
DEL wave.fsdb /q
::删除Debussy生成的相关文件
RD Debussy.exeLog /s /q
DEL debussy.rc /q
::退出命令行
EXIT
5、双击运行run.bat
四、几个注意的地方
bat文件在任何情况下都是通用的,除非不需要删除一些文件时,可以更改下里面的程序;
source file的路径rtl一定记得要加上;
.v文件的开头都应该加上timescale;
rtl.f中文件的路径,注意路径用的是/,而不是\,实践证明路径是可以随意更改的,保证路径正确
sim.do文件中顶层文件的修改,即testbench,切忌这个文件后面不加.v,并且run 后面的时间不能为1s,报错说是invalid time value
testbench文件即可直接拷贝.vt文件过去,但是有一点要注意,要添加debussy的那几个函数,不然无法生成fsdb文件,而且要保存为.v文件
每个文件上都要加上'timescale的时间定义
至此,大功告成。
debussy与modelsim的联调设置的更多相关文章
- Debussy与modelsim联仿时 do 文件脚本
quit -sim set PATH1 D:/Program/modelsim/vivado_lib set PATH2 D:/Program/Vivado/Vivado/2014.4/data/ve ...
- Quartus prime16.0 与modelsim ae 联调
前言 quartus和modelsim联调对仿真还是很方便的,当然最好是quartus干综合到烧录的活,modelsim单独仿真.而且ae版的性能比se版差. 流程: 1.配置modelsim ae路 ...
- 使用Debussy+ModelSim快速查看前仿真波形
sim.do文件 quit -sim set PATH1 D:/Program/modelsim/vivado_lib set PATH2 D:/Program/Vivado/Vivado/2014. ...
- vivado和modelsim联合调试仿真
vivado和modelsim联合调试仿真 0赞 发表于 2017/5/10 19:10:59 阅读(881) 评论(0) 使用vivado和modelsim联合调试仿真时,在破解完modelsim后 ...
- Modelsim添加Lattice库
Step 1 安装好modelsim,并将modelsim的目录添加到系统PATH中. (确认方法:在任意位置同时按下Shift+鼠标右键,在出来的菜单里选择“在此处打开命令窗口”,然后输入vsim, ...
- Debussy的安装与使用
1.概述 Debussy是NOVAS Software, Inc ( 思源科技 )发展的HDL Debug & Analysis tool,这套软体主要不是用来跑模拟或看波形,它最强大的功能是 ...
- Nginx跨域了解及模拟和解决
Nginx跨域 同源策略 何为同源: 1.协议(http/https)相同 2.域名(IP)相同 3.端口相同 详解请看我另一篇文章 https://www.cnblogs.com/you-men/p ...
- Linux命令总结大全,包含所有linux命令
使用说明:此文档包含所有的Linux命令,只有你想不到的没有你看不到的,此文档共计10万余字,有8400多行,预计阅读时间差不多需要3个小时左右,所以要给大家说一说如何阅读此文档 为了方便大家阅读,我 ...
- modelsim与debussy联调环境的搭建
为了方便查看波形,找来了一款软件——debussy,它的一个优点是任你查看设计内信号,只需一个波形文件,如FSDB文件.而不用像modelsim那样想看某些信号,添加了之后还要重新编译仿真,浪费了很多 ...
随机推荐
- wwnjld团队第二轮迭代成员分数
2014-01-05 第二轮迭代团队内成员分数如下(依据分数分配规则以及团队会议协商所得结果): 吴渊渊 23 汪仁贵 21.5 高小洲 19.5 聂建 22.5 吕家辉 23.5 程志 10
- Python实用技巧
1.改变工作目录 import os os.chdir('C:/Users/Mr.Zhao') 2.搜索制定目录下的文件 1 import glob 2 glob.glob('C:/User/Mr.Z ...
- 一个例子说明mouseover事件与mouseenter事件的区别
<html> <head> <meta charset="UTF-8"> <title>haha</title> < ...
- Scrum 冲刺博客,项目总结
1.各个成员在 Alpha 阶段认领的任务 数据库环境的搭建,连接数据库:张陈东芳 数据库语句sql语句:张陈东芳 商品实体类的实现:吴敏烽 获取所有商品信息的实现:吴敏烽 根据商品编号获得商品资料: ...
- node中的path.resolve
path.resolve([arg1,arg2,...])根据参数的不同,返回值存在两种情况. 以下为参数的两种情况: 1.每个参数都不带'/',比如path.resolve(),或者path.res ...
- Flink的序列化与flink-hadoop-compatibility
最近 用户提交了一个问题 说他的jar包里明明包含相关的类型 但是在提交Flink作业的时候 却报出classnotfound的错误 查看之后发现 这里是flink的一个没有说的太明白的地方 用户的代 ...
- MySQL event调度
基本命令 //查看事件调度是否开启 show variables like '%event_scheduler%'; //开启事件调度 SET GLOBAL event_scheduler = ON; ...
- Ansible批量部署工具的安装
1.系统安装gcc,以及python2.6以上(2.6.8): 第一种比较简单的安装方法: 1)直接yum install -y ansible; 2)然后更改配置,/etc/ansible/ansi ...
- Luogu2540 斗地主增强版(搜索+动态规划)
单纯的暴搜似乎还是很好写的,然而过不了.出完顺子之后答案是可以dp出来的,于是大力搜然后大力dp就好了. dp时强行讨论完了几乎所有拆牌情况,理性愉悦一发. #include<iostream& ...
- Android 数据库存储之db4o
在Android中,使用数据库除了可以使用Android内嵌的SQLite,还可以使用db4odb4o是嵌入式的面向对象的数据库,是基于对象的数据库,操作的数据本身就是对象.特点:对象以其本身的方式来 ...