首发于观芯志
 

数字IC设计入门书单

Forever snow

 
1 年前
作者:Forever snow
链接:你所在领域的入门书单? - 知乎用户的回答
来源:知乎
著作权归作者所有,转载请联系作者获得授权。

修订说明:
1、2016.11.07,@Kevin Hahn 补充半导体物理与器件相关参考书。感谢!
--------------------------------------------------------------------

写一下微电子和半导体行业入门的经典书籍,下面大部分书籍原版都是英文,建议大家尽量看英文原版。同时,也有部分书籍内容翔实全面,虽不是大家所著,但也可以作为入门的参考书籍。另外,本文所列书籍都面向数字集成电路方向,其他方向,诸如模拟集成电路、射频IC、功率器件、工艺、器件等,均未涉及。本文将从理论基础、晶体管级电路、系统级电路、物理实现、Verilog HDL 、FPGA、处理器设计、数字IC验证、EDA相关工具、脚本、linux、职业规划等几个方面给出入门的书单。
最后,写书不易,请支持正版,支持作者!
多图,移动端注意流量。
--------------------------------------------------------------------------------------------

  1. 理论基础类
  • 《半导体物理学(第7版)》。作者:刘恩科,朱秉升,罗晋升著。出版社:电子工业出版社

说明:这本书被国内大部分高校都采用为半导体物理课程的教材。同时,也是部分高校推荐使用的微电子专业硕士生初试参考书。

  • 《集成电路中的现代半导体器件(英文版)》。

说明:国内外很多高校都采用这本书作为半导体器件的入门教材。本书的作者是美国工程院院士、中国科学院外籍院士、FinFET技术发明人、加州大学伯克利分校教授胡正明。本书的特点是内容简明扼要,重点突出,深度掌握适宜,讲解深入浅出。书中还对一些前沿的器件技术进行了阐述。

  • 《国外名校最新教材精选:半导体器件物理(第3版)》。

说明:这本经典著作在半导体器件领域已经树立起了先进的学习和参考典范。施敏博士是国际知名的微电子科学技术与半导体器件专家和教育家,为推动微电子人才培养做出了巨大的贡献。施敏博士在微电子科学技术著作方面举世闻名,对半导体元件的发展和人才培养方面作出贡献。由于他在微电子器件及在人才培养方面的贡献,先后被选为台湾中央研究院院士和美国国家工程院院士;1991年施敏博士得到IEEE电子器件的最高荣誉奖(Ebers奖),称他在电子元件领域做出了基础性及前瞻性贡献。感谢@Kevin Hahn提供如下补充内容:

半导体物理部分,再推荐两本书:
1) 半导体物理基础-黄昆 韩汝琦。这本书篇幅不大,语言浅显,强调物理图象和实际应用,很适合固体物理基础比较薄弱的读者,但是存在部分印刷错误,科学出版社最新的再版也没有更正,另外,与器件相关的部分内容比较陈旧。
2) 半导体物理学-叶良修。这套书篇幅较大,分上下册,重点是物理概念的清晰、准确然而却不晦涩,且在深度和新进展方面有颇多努力,可读性强,可作为参考书。 半导体器件部分,先说一下施敏博士的《半导体器件物理(第3版)》,这本书的内容设置比较矛盾,有一定深度,却又深入不足,如果不同步阅读其他资料,很难用一本书来读懂主要内容。
个人推荐施博士的另一本书——《半导体器件物理与工艺》,这本书把重点放在集成电路中最常用的半导体结构和器件(PN结/ BJT/ MOSFET),半导体物理部分重点讲述载流子及其输运,并增加了集成电路制造工艺的部分,语言浅显易懂,有很好的实用性,适合初学者或不必过度钻研半导体器件的读者如电路设计工程师。
此外,伯克利的胡正明博士(FINFET发明人)的Modern Semiconductor Devices for Integrated Circuits也相当不错,这本书对MOS基本原理与电学特性及其在现代集成电路中的应用描述清晰,语言通畅。大陆有引进印刷版(影印和中译都有),而可贵的是,胡博士将此书的英文电子版及讲义放在个人网站供读者免费下载阅读。

2.晶体管级电路类

  • 《国外电子与通信教材系列·数字集成电路:电路、系统与设计(第2版)》。

说明:本书由美国加州大学伯克利分校JanM.Rabaey教授撰写。本书对数字电路基本的MOS器件、连线、逻辑单元等进行了非常详尽的阐释。经典入门级教材,国外和国内很多高校都采用这本书作为本科生、研究生等的专业教材。此外,也有部分高校采用该书作为硕士生初试参考教材。

  • 《数字集成电路分析与设计(第2版)》。经典教材之一,美国加州伯克利大学David A. Hodges教授等所著,被国内外多所高校选定为微电子专业本科生和研究生的教材。

  • 超大规模集成电路与系统导论。

说明:本书中对逻辑单元、加法器等的讲解很精彩,可以作为以上两本书的补充参考书。

  •  《CMOS数字集成电路:分析与设计(第4版 英文版)》国内多所院校采用。

3.系统级电路类

  • CPU源代码分析与芯片设计及Linux系统移植。

说明:本书详细分析了开放源代码32位RISC CPU(OR1200)的源代码、编译器的移植、Linux操作系统的移植,介绍了CPU源代码在FPGA上的实现方法,说明了CPU芯片的全定制设计方法。本书的特点是对于OR1200的介绍全面,入门时可作为工具书用。4.物理实现类

  • 《数字集成电路物理设计》

说明:本书是国内第一本全面、完整介绍当今数字集成电路后端布局布线设计技术的专门教材。应该也是唯一的一本数字集成电路后端设计的书籍,包括中英文书籍。本书的作者是Cadence的资深技术人员,在IC设计界从业近20多年。鉴于作者的工作单位,本书中的主要内容都是基于Cadence的EDA工具。目前这本书已经绝版。

    • 《高级ASIC芯片综合》(第2版)。说明:本书的作者也是业界资深技术专家,曾就职于多家业界顶级公司。本书基本也是目前少有的基本对芯片综合进行讲解的技术类书籍。本书主要描述了使用Synopsys工具进行ASIC芯片综合、静态时序分析等做详实的阐述和说明。书中大部分内容在design compiler的手册中都能查到。入门者可结合书和手册进行学习。
  • 《Static Timing Analysis for Nanometer Designs: A Practical Approach》。

先引用一段原书中概述性的介绍:The book covers topics such as cell timing and power modeling; interconnect modeling and analysis, delay calculation, crosstalk, noise and the chip timing verification using static timing analysis. For each of these topics, the book provides a theoretical background as well as detailed examples to elaborate the concepts. The static timing analysis topics covered start from verification of simple blocks useful for a beginner to this field. The topics then extend to complex nanometer designs with in-depth treatment of concepts such as modeling of on-chip variation, clock gating, half-cycle paths, as well as timing of source-synchronous interfaces such as DDR. The impact of crosstalk on timing and noise is covered as is the usage of hierarchical design methodology.这本书,堪称静态时序分析的圣经,对工艺库、互连线等基本概念讲的很透彻,同时又有当前比较流行的DDR的时序约束分析。入门者可结合本书和Design Compiler、Primetime的手册进行学习。

  • 《Advanced System-on-Chip Test Design and Optimization》

Design For Test的入门书籍,从设计和架构方面详细的讲述了DFT的基本概念,测试方法以及常见错误类型。DFT是在未来几年微电子很好的就业方向,值得关注。5.Verilog HDL 类

    • 《轻松成为设计高手:Verilog HDL实用精解》。EDA先锋工作室出品,目前市面上的中文书籍里,少有的几本能够从VerilogHDL硬件本质来阐述这种语言的书。只是不知道为什么作者再版的时候,取了一个看起来风格比较怪异的书名。6.FPGA 类
  • 《VLSI数字信号处理系统设计与实现》.

  • 《数字信号处理的FPGA实现》.


以上两本书是数字信号处理算法在硬件设计方面的经典书籍。

7.处理器设计类

  • 《计算机体系结构量化研究方法》(英文版·第4版)。最权威和经典的计算机体系结构著作,作者JohnL.Hennessy,斯坦福大学校长,IEEE和DACM会士,美国国家工程研究院院士及美国科学艺术研究院院士,因在RISC技术方面做出的突出贡献而荣获T2001年的Eckert—Mauchly奖。同时他也是2001年SeymourCray计算机工程奖得主,并且和本书另外一位作者DavidA.Paterson分享了2000年的IEEEJohnvonNeumann奖。本书适合有一定基础的研究人员阅读。入门时,不建议读。

  • 《图灵程序设计丛书:CPU自制入门》。本书内容全面,适合入门的初学者,基本上整合了芯片级到板级的软硬件设计,可以帮助读者迅速地建立一个底层硬件设计、高效代码等的软硬件协同工作的知识体系。本书的译者 @JonsonXP 也在知乎。

  • 《大型RISC处理器设计:用描述语言Verilog设计VLSI芯片)》

说明:该书是一本系统讲述32位RISC微处理器的设计方法和设计过程的著作,其内容基本上涵盖了RISC微处理器设计的方方面面。本书附带有光盘,内有书中的实例和代码。

  • 《大话处理器:处理器基础知识读本》

说明:非常好的科普性著作。以下引用自本书的书评:图文并茂、生动幽默的处理器科普读本,全书行文风趣幽默,用类比来解释晦涩的技术,用图画来代替枯燥的文字。本着科技以人为本的理念,《大话处理器:处理器基础知识读本》除了技术外,还介绍了大量人物和公司的故事,供大家闲读。《大话处理器:处理器基础知识读本》的主要读者是IT、通信、电子、半导体行业的从业人员以及学生。喜欢技术的看技术,不喜欢技术的看故事。

8.数字IC 验证类

  • 《SystemVerilog验证(测试平台编写指南原书第2版)》可以作为学习SystemVerilog验证语言的初级阶段读物。书中描述了语言的工作原理并且包含了很多例子,这些例子演示了如何使用面向对象编程(OOP)的方法建立一个基本的、由覆盖率驱动并且受约束的随机分层测试平台。

9.EDA工具相关类

  • 《数字VLSI芯片设计:使用Cadence和Synopsys CAD工具》介绍如何使用Cadence和Synopsys公司的CAD工具来实际设计数字VLSI芯片。读者通过《数字VLSI芯片设计:使用Cadence和Synopsys CAD工具》可以循序渐进地学习这些CAD工具,并使用这些软件设计出可制造的数字集成电路芯片。本书附带有光盘,内有工艺库、脚本、实例等,供初学者学习使用。

10.脚本类

  • 《Tcl/Tk入门经典(第2版)》介绍了Tcl语言、Tk工具集以及Tcl和C语言结合编程。
  • 《Perl语言入门》。Perl能在绝大多数平台上完成几乎所有任务,不管是简单的修修补补,还是大型完备的网络应用。《Perl语言入门(第6版)(中文版)》从最基础的开始教起,然后逐渐深入,让你慢慢能够自行编写多至128行的程序——如今90%的Perl程序差不多都是这般大小,全书包括输入与输出;用正则表达式处理文本;字符串与排序等数章内容。

11.linux 系统类

  • 《鸟哥的Linux私房菜 》内容丰富全面,基本概念的讲解非常细致,深入浅出。各种功能和命令的介绍,都配以大量的实例操作和详尽的解析。本书是初学者学习Linux不可多得的一本入门好书。

12.职业发展类

  • 胡说IC

本书作者也在知乎 @IC咖啡胡运旺@IC咖啡胡运旺 ,本书内容简介:本书主要写给软件、微电子、通信、自动化、电子工程、半导体工艺、材料、计算机、物理、化学等专业,并有意加入IC行业的同学和刚入IC职场的“菜鸟”们。概述集合了70多位IC圈的CEO、总监、高级经理及资深工程师给出的关于职业发展规划的建议,行业初学者可通过这本书对整个行业有一个更为全面的了解,也可以作为职业发展规划指导书。

「真诚赞赏,手留余香」

赞赏

1 人赞赏
 
178

收藏
分享

 
举报
文章被以下专栏收录
28 条评论

写下你的评论...
 
 

半导体物理部分,再推荐两本书:1) 半导体物理基础-黄昆 韩汝琦。这本书篇幅不大,语言浅显,强调物理图象和实际应用,很适合固体物理基础比较薄弱的读者,但是存在部分印刷错误,科学出版社最新的再版也没有更正,另外,与器件相关的部分内容比较陈旧。2) 半导体物理学-叶良修。这套书篇幅较大,分上下册,重点是物理概念的清晰、准确然而却不晦涩,且在深度和新进展方面有颇多努力,可读性强,可作为参考书。 半导体器件部分,先说一下施敏博士的《半导体器件物理(第3版)》,这本书的内容设置比较矛盾,有一定深度,却又深入不足,如果不同步阅读其他资料,很难用一本书来读懂主要内容。个人推荐施博士的另一本书——《半导体器件物理与工艺》,这本书把重点放在集成电路中最常用的半导体结构和器件(PN结/BJT/MOSFET),半导体物理部分重点讲述载流子及其输运,并增加了集成电路制造工艺的部分,语言浅显易懂,有很好的实用性,适合初学者或不必过度钻研半导体器件的读者如电路设计工程师。此外,伯克利的胡正明博士(FINFET发明人)的Modern Semiconductor Devices for Integrated Circuits也相当不错,这本书对MOS基本原理与电学特性及其在现代集成电路中的应用描述清晰,语言通畅。大陆有引进印刷版(影印和中译都有),而可贵的是,胡博士将此书的英文电子版及讲义放在个人网站供读者免费下载阅读。
4 赞

1 年前
以上为精选评论

能再写篇模拟的吗
1 赞

1 年前

 查看对话

Forever snow(作者)回复hetchy
没有从事模拟IC 设计,不熟悉这个领域
1 年前

老师服你啦!
1 赞

1 年前

Syetemverilog验证那本书个人推荐第三版(虽然只有英文)但是修正了一些代码还有描述上的歧义
1 赞

1 年前

模拟的只记得上课在用拉扎维的书
1 赞

1 年前

非常棒!

数字IC设计入门书单的更多相关文章

  1. 数字IC设计入门必备——VIM自定义模板调用与VCS基本仿真操作示例

    一.前言 毕业论文答辩结束,闲下来写篇文章.芯片研发人员都在Linux系统下借助各种EDA工具和代码语言完成工作,因此提高代码开发效率,熟练运用开发工具是十分必要的.本文讲述VIM编辑神器的veril ...

  2. 数字IC设计工程师的知识结构

    刚毕业的时候,我年少轻狂,以为自己已经可以独当一面,庙堂之上所学已经足以应付业界需要.然而在后来的工作过程中,我认识了很多牛人,也从他们身上学到了很多,从中总结了一个IC设计工程师需要具备的知识架构, ...

  3. VerilogHDL概述与数字IC设计流程学习笔记

    一.HDL的概念和特征 HDL,Hard Discrimination Language的缩写,翻译过来就是硬件描述语言.那么什么是硬件描述语言呢?为什么不叫硬件设计语言呢?硬件描述语言,顾名思义就是 ...

  4. 【转载】数字IC设计流程及开发工具

    原文链接:https://www.zhihu.com/question/28322269/answer/42048070 Design Flow <img src="h ...

  5. web安全入门书单

  6. 数字IC设计-15-DPI(延续)

    简介 供SV,无论是构建测试激励,或模拟硬件的并行行为,DPI这是非常方便.上次我们介绍SV内通"import"导入和电话C性能. 在本节,通过一个简单的例子来说明C什么语言的函数 ...

  7. 数字IC设计工程师成长之路

    学习的课程 仿真工具VCS实践学习 2019年12月9日-2019年12月23日

  8. 数字IC前后端设计中的时序收敛(五)--Max Transition违反的修复方法

    本文转自:自己的微信公众号<数字集成电路设计及EDA教程> 里面主要讲解数字IC前端.后端.DFT.低功耗设计以及验证等相关知识,并且讲解了其中用到的各种EDA工具的教程. 考虑到微信公众 ...

  9. 数字IC前后端设计中的时序收敛(四)--Max Capacitance违反的修复方法

    本文转自:自己的微信公众号<数字集成电路设计及EDA教程> 里面主要讲解数字IC前端.后端.DFT.低功耗设计以及验证等相关知识,并且讲解了其中用到的各种EDA工具的教程. 考虑到微信公众 ...

随机推荐

  1. js-ES6学习笔记-Proxy

    1.Proxy 用于修改某些操作的默认行为,等同于在语言层面做出修改,所以属于一种“元编程”(meta programming),即对编程语言进行编程. 2.Proxy 可以理解成,在目标对象之前架设 ...

  2. vscode sync插件 在不同设备 同步的坑

    sync的好处不言而喻,在不同的设备都可以同步自己的插件和所有配置: 但是有时有总是会有坑, 现在把我遇到的坑记录下来,以防再次踩坑 VSCode 同步方案 VSCode 的插件 Setting Sy ...

  3. img,a,锚链接,超链接

    1.图片标签:img,单标签 图片属性: src(source): 图片的来源(路径),可以放置本地图片,也可以放网上的图片的url地址 title: 当鼠标停留在图片上的时候,显示提示的文字 alt ...

  4. Echarts图表常用功能配置,Demo示例

    先看下效果图: 就如上图所示,都是些常用的基本配置. Legend分页,X轴设置,Y轴设置,底部缩放条设置, 数值显示样式设置,工具箱设置,自定义工具按钮, 绑定点击事件等等.这些配置代码中都做了简单 ...

  5. IDEA项目搭建一——使用Maven创建多模块项目

    废话不多说,直接开始吧,如果有哪里写的不多的,还望指出,谢谢 一.创建空项目EmpayProject File -> New -> Project 二.添加父模块Parent Module ...

  6. 你用过这种奇葩的C#注释吗

    博客园一位微软MVP的文章 http://www.cnblogs.com/asxinyu/p/4383402.html#autoid-0-0-0 摘录: 我这里说的奇葩,并不是脱离三种方式,而是其注释 ...

  7. 2018-10-16 22:56:13 c language

    2018-10-16 22:56:13 c language 我们把上面的步骤总结一下,可以发现一个完整的编程过程是: 编写源文件:这是编程的主要工作,我们要保证代码的语法 100% 正确,不能有任何 ...

  8. 【Redis】Redis学习(五) Redis cluster模式详解

    一般情况下,使用主从模式加Sentinal监控就可以满足基本需求了,但是当数据量过大一个主机放不下的时候,就需要对数据进行分区,将key按照一定的规则进行计算,并将key对应的value分配到指定的R ...

  9. Node.js ORM框架Sequlize之表间关系

    Sequelize模型之间存在关联关系,这些关系代表了数据库中对应表之间的主/外键关系.基于模型关系可以实现关联表之间的连接查询.更新.删除等操作.本文将通过一个示例,介绍模型的定义,创建模型关联关系 ...

  10. 8.1、包,__init__.py,

    包: 为了组织好模块,将多个模块组合为一个包,所以包用于存放python模块 包通常是一个文件夹,当文件夹当作包使用时,文件夹需要包含__init__.py文件 __init__.py的内容可以为空, ...