利用状态机实现比较复杂的接口设计:

这是一个将并行数据转换为串行输出的变换器,利用双向总线输出。这是由EEPROM读写器的缩减得到的,首先对I2C总线特征介绍:

I2C总线(inter integrated circuit)双向二线制串行总线协议为:只有总线处于“非忙”状态时,数据传输才开始。在数据传输期间,只要时钟线为高电平,数据线都必须保持稳定,否则数据线上的任何变化都被当作“启动”或“停止”信号。

下面介绍A、B、C、D的工作状态:

(1)总线处于非忙状态(A段):该段内的数据线(sda)和时钟线(scl)都保持高电平;

(2)启动数据传输(B段):当时钟线(scl)为高电平时,数据线(sda)由高电平变为低电平的下降沿被认为是“启动”信号;

(3)停止数据传输(C段):当时钟线(scl)为高电平时,数据线(sda)由低电平变为高电平的上升沿被认为是“停止”信号;

(4)数据有效(D段):在出现“启动”信号之后,在时钟线(scl)为高电平时,数据线是稳定的,这是数据线上的数据就是要传送的数据,数据线上的数据改变必须在时钟线(scl)为低电平期间完成,每个数据占用一个时钟;

(5)应答信号:每个正在接受数据的EEPROM在接收到一个字节的数据后,通常需要发出一个应答信号;而每个正在发送数据的EEPROM在发出一个字节的数据后,通常需要接受一个应答信号;EEPROM读写控制器必须提供一个与这个应答信号相联系的二外的始终脉冲。

其控制字节一共有8位:1010xxxW/R 其中1010是I2C总线器件特征编码,xxx表示地址,W/R表示读写状态。

在实现并行输入串行输出时,需要两个状态机:

主状态机主要控制内部存储器和输入端的连接,以及给出应答信号;从状态机主要负责总线连接时,内部寄存器的最高位输出个移位;

状态机的源码如下:

 module parallel_to_serial(rst,clk,addr,data,sda,ack);
input rst,clk;
input [:]data,addr; inout sda; //data bus
output ack; //ask for next address/data writting wo eeprm;
reg link_write; //whether connect to output
reg [:]state; //main status,
reg [:]sh8out_state; //serial output status
reg [:]sh8out_buf; //output data buffer
reg finish_F; //whether finished an operation of main status
reg ack; parameter idle=, addr_write='d1, data_write=3'd2, stop_ack='d4; //main status code
parameter bit0=, bit1=, bit2=, bit3=, bit4=, bit5=, bit6=, bit7=; //serial output status code assign sda=link_write?sh8out_buf[]:'bz; //??????????? always @(posedge clk)
begin
if(!rst) //reset
begin
ack<=;
link_write<=; //???????
finish_F<=;
state<=idle;
sh8out_state<=idle;
sh8out_buf<=;
end
else
case(state)
idle:begin
link_write<=; //??????
ack<=;
finish_F<=;
sh8out_buf<=addr; //???????
sh8out_state<=idle;
state<=addr_write; //???????
end
addr_write:begin
if (finish_F==) begin shift8_out;end //???????
else
begin
link_write<=;
ack<=;
finish_F<=;
sh8out_buf<=data; //???????
state<=data_write;
sh8out_state<=idle;
end
end
data_write:begin
if (finish_F==) begin shift8_out;end //???????
else
begin
link_write<=;
finish_F<=;
state<=stop_ack;
ack<=; //????????
end
end
stop_ack:begin //????
ack<=;
state<=idle;
end
endcase
end task shift8_out; //???????
begin
case(sh8out_state)
idle:begin
link_write<=; //?????????????????17?assign sda=link_write?sh8out_buf[7]:1'bz; sda??????????sh8out_buf?????
sh8out_state<=bit7;
end
bit7:begin
link_write<=;
sh8out_buf=sh8out_buf<<; //?????data?????bit6
sh8out_state<=bit6;
end
bit6:begin
link_write<=;
sh8out_buf=sh8out_buf<<;
sh8out_state<=bit5;
end
bit5:begin
link_write<=;
sh8out_buf=sh8out_buf<<;
sh8out_state<=bit4;
end
bit4:begin
link_write<=;
sh8out_buf=sh8out_buf<<;
sh8out_state<=bit3;
end
bit3:begin
link_write<=;
sh8out_buf=sh8out_buf<<;
sh8out_state<=bit2;
end
bit2:begin
link_write<=;
sh8out_buf=sh8out_buf<<;
sh8out_state<=bit1;
end
bit1:begin
link_write<=;
sh8out_buf=sh8out_buf<<;
sh8out_state<=bit0;
end
bit0:begin
link_write<=;
finish_F<=;
end
endcase
end
endtask
endmodule

测试程序:

 `timescale 1ns/1ns
`define clk_period
module parallel_to_serial_test;
reg rst,clk;
reg [:]data,addr;
wire ack,sda;
wire [:]state; //main status,
wire [:]sh8out_state; initial
begin
clk=;
rst=;
data=;
addr=;
#(*`clk_period) rst=;
#(*`clk_period) rst=;
#(*`clk_period) $stop;
end always # clk=~clk; always @(posedge clk)
begin data=data+; addr=addr+; end parallel_to_serial m(
.rst(rst),
.clk(clk),
.addr(addr),
.data(data),
.sda(sda),
.ack(ack)
); assign state=m.state;
assign sh8out_state=m.sh8out_state;
endmodule

波形信号:

Verilog学习笔记简单功能实现(七)...............接口设计(并行输入串行输出)的更多相关文章

  1. Verilog学习笔记简单功能实现(八)...............同步FIFO

    Part 1,功能定义: 用16*8 RAM实现一个同步先进先出(FIFO)队列设计.由写使能端控制该数据流的写入FIFO,并由读使能控制FIFO中数据的读出.写入和读出的操作(高电平有效)由时钟的上 ...

  2. Verilog学习笔记简单功能实现(二)...............全加器

    先以一位全加器为例:Xi.Yi代表两个加数,Cin是地位进位信号,Cout是向高位的进位信号.列表有:   Xi     Yi    Cin Sum Cout 0 0 0 0 0 0 0 1 1 0 ...

  3. Verilog学习笔记简单功能实现(六)...............计数分频电路

    在分频器电路中最重要的概念有两个:1)奇分频/偶分频:2)占空比. A)其中最简单的就是二分频电路,占空比为50%,其Verilog程序为 module half_clk(clr,clk_in,clk ...

  4. Verilog学习笔记简单功能实现(五)...............序列检测设计

    这里采用夏宇闻教授第十五章的序列检测为例来学习; 从以上的状态转换图可以写出状态机的程序: module seqdet(x,out,clk,rst); input x,clk,rst; output ...

  5. Verilog学习笔记简单功能实现(三)...............同步有限状态机

    在Verilog中可以采用多种方法来描述有限状态机最常见的方法就是用always和case语句.如下图所示的状态转移图就表示了一个简单的有限状态机: 图中:图表示了一个四状态的状态机,输入为A和Res ...

  6. Verilog学习笔记简单功能实现(四)...............译码器和编码器

    这里以简单的3-8译码器和8-3编码器为例: module decoder3_8(a,out); :]a; :]out; 'b1<<a;/*把最低位的1左移in位(根据in口输入的值)并赋 ...

  7. Verilog学习笔记简单功能实现(一)...............D触发器

    module D_flop(data,clk,clr,q,qb); input data,clk,clr; output q,qb; wire a,b,c,d,e,f,ndata,nclk; nand ...

  8. Verilog学习笔记简单功能实现(八)...............异步FIFO

    基本原理:       1.读写指针的工作原理 写指针:总是指向下一个将要被写入的单元,复位时,指向第1个单元(编号为0). 读指针:总是指向当前要被读出的数据,复位时,指向第1个单元(编号为0). ...

  9. Spring框架学习笔记(9)——API接口设计相关知识及具体编码实现

    最近需要设计一个API服务器,想要把API接口搞得规范一下,就通过网上搜集到了一些资料,以下便是自己的一些理解以及相关的具体实现 本文采用的是spring boot+maven的方案 restful规 ...

随机推荐

  1. Atitit 泛型原理与理解attilax总结

    Atitit 泛型原理与理解attilax总结 1. 泛型历史11.1.1. 由来11.2. 为什么需要泛型,类型安全21.3. 7.泛型的好处22. 泛型的机制编辑22.1.1. 机制32.1.2. ...

  2. OutputCache属性详解(一)一Duration、VaryByParam

    目录 OutputCache概念学习 OutputCache属性详解(一) OutputCache属性详解(二) OutputCache属性详解(三) OutputCache属性详解(四)— SqlD ...

  3. angularjs的resource实例对象

    angularjs的resource实例对象 我们看看都有啥 而直接使用service对象的时候没有前面这些$

  4. 纯CSS制作水平垂直居中“十字架”

    <!DOCTYPE html> <html lang="en"> <head> <meta charset="UTF-8&quo ...

  5. ELCImagePickerController 的集成

    1,  将文件夹ELCImagePicker拷贝到工程中 2,添加系统库AssetsLibrary.framework 3,  添加系统库MobileCoreServices 4,在头文件中加入引用# ...

  6. JSP网站开发基础总结《三》

    经过前两篇的总结,我想大家一定迫不及待的想学习今天的关于jsp与mysql的数据库连接的知识了.既然需要连接mysql数据库,你首先需要保证你的电脑已经安装过mysql数据库,mysql数据库的安装步 ...

  7. java中paint方法和paintComponent方法的不同

    /* 1.由Component.java源代码中可以看见其中的paint()方法体是空的,在Container中重写了该方法,其子类Window等也重写了该方法 2.由JComponent.java源 ...

  8. Java多线程系列--“JUC线程池”02之 线程池原理(一)

    概要 在上一章"Java多线程系列--“JUC线程池”01之 线程池架构"中,我们了解了线程池的架构.线程池的实现类是ThreadPoolExecutor类.本章,我们通过分析Th ...

  9. 浅谈A/B测试里常见的辛普森悖论,企业决策者必看

    A/B测试背后有着高深的统计学知识,今天我们就来讲讲常见的辛普森悖论. 辛普森悖论 (Simpson's Paradox) 是英国统计学家 E.H.辛普森 (E.H.Simpson) 于1951年提出 ...

  10. 云计算之路-阿里云上:结合IIS日志分析“黑色30秒”问题

    在昨天针对“黑色30秒”问题的分析中,我们猜测Requests Queued上升是由于正在处理的请求出不去(到达不了客户端).今天我们结合IIS日志验证这个猜测. IIS日志中有一个重要的指标——ti ...