PrimeTime一般用作sign off的timing check,也可用在DC之后的netlist的timing analysis

一般的使用流程:

1) Read design data,--------------netlist,db,sdf,parasitics,milkway

2) Constrain design,--------------sdc

3) Specify env and analysis condition,

4) Check design and analysis setup,

5) Perform a full analysis,

Read design:

通过set  search_path ""  来设置db/lib

set  link_path ""   (optional)

link_design  TOP   (指定design top)

read_verilog/read_vhdl 来读入netlist到PrimeTime

read_parasitics/read_sdf 进行annotation

read_sdc/source  进行timing constraints和exception约束

Constraining The Design:

约束clock characteristics

约束input delay at input port

约束output delay at output port

针对clock,create_clock创建,include name,source,period,waveform

clock network,set_clock_uncertainty, set_propagated_clock, set_clock_transiaction, set_clock_latency

create_generated_clock

set_input_delay

set_output_delay

Specify env and analysis condition

Specify process, temperature, voltage

apply case analysis and mode analysis             set_case_analysis single/BC_WC/OCV    set_case_analysis/set_mode(lib中不同的characteristic)

specify driving cell at input ports, load at output ports          set_driving_cell   set_load

specify timing exceptions           set_min_delay    set_max_delay    set_disable_timing

specify wire load model and back-annotated           set_wire_load_model/read_sdf/read_parastics

Check Design and analysis setup

check timing

report_design

report_port

report_net

report_lib

report_path_group

report_clock

report_wire_load

Performing a Full Analysis

report_timing   -delay_type/-from/-to/-through/-rise_through/-rise_from

report_constraint

report_analysis_coverage

report_delay_calculation

PrimeTime中的Design Objects,可以使用get命令,  set_input_delay 2.3 [get_ports IN*]

cell---------instance in the design, include reference hierarchical blocks and library cell

lib_cell--------cells in technology library

lib_pin-------pins in library cells

net----------nets in current design

pin-----pins of lower-level cells in the design, can be input/ output/ inout

port-------ports of current design, can be input/ output/ inout

path group----Timing reports organized by path group

Prime Time使用的更多相关文章

  1. Java 素数 prime numbers-LeetCode 204

    Description: Count the number of prime numbers less than a non-negative number, n click to show more ...

  2. Prime Generator

    Peter wants to generate some prime numbers for his cryptosystem. Help him! Your task is to generate ...

  3. POJ 2739. Sum of Consecutive Prime Numbers

    Sum of Consecutive Prime Numbers Time Limit: 1000MS   Memory Limit: 65536K Total Submissions: 20050 ...

  4. UVa 524 Prime Ring Problem(回溯法)

    传送门 Description A ring is composed of n (even number) circles as shown in diagram. Put natural numbe ...

  5. Sicily 1444: Prime Path(BFS)

    题意为给出两个四位素数A.B,每次只能对A的某一位数字进行修改,使它成为另一个四位的素数,问最少经过多少操作,能使A变到B.可以直接进行BFS搜索 #include<bits/stdc++.h& ...

  6. hdu 5901 count prime & code vs 3223 素数密度

    hdu5901题目链接:http://acm.hdu.edu.cn/showproblem.php?pid=5901 code vs 3223题目链接:http://codevs.cn/problem ...

  7. 最小生成树 prime zoj1586

    题意:在n个星球,每2个星球之间的联通需要依靠一个网络适配器,每个星球喜欢的网络适配器的价钱不同,先给你一个n,然后n个数,代表第i个星球喜爱的网络适配器的价钱,然后给出一个矩阵M[i][j]代表第i ...

  8. 最小生成树 prime poj1258

    题意:给你一个矩阵M[i][j]表示i到j的距离 求最小生成树 思路:裸最小生成树 prime就可以了 最小生成树专题 AC代码: #include "iostream" #inc ...

  9. 最小生成树 prime + 队列优化

    存图方式 最小生成树prime+队列优化 优化后时间复杂度是O(m*lgm) m为边数 优化后简直神速,应该说对于绝大多数的题目来说都够用了 具体有多快呢 请参照这篇博客:堆排序 Heapsort / ...

  10. 最小生成树 prime poj1287

    poj1287 裸最小生成树 代码 #include "map" #include "queue" #include "math.h" #i ...

随机推荐

  1. 2016 CCPC长春重现赛

    1.2016中国大学生程序设计竞赛(长春)-重现赛 2.总结:会做的太少,应变能力也不行,或者说猜题目的能力不行 02  水 04  HDU 5914  Triangle 1.题意:1~n,n个数,问 ...

  2. MongoDB查询操作限制返回字段的方法

    这篇文章主要介绍了MongoDB查询操作限制返回字段的方法,需要的朋友可以参考下   映射(projection )声明用来限制所有查询匹配文档的返回字段.projection以文档的形式列举结果集中 ...

  3. Memcache教程 Memcache零基础教程

    Memcache是什么 Memcache是danga.com的一个项目,来分担数据库的压力. 它可以应对任意多个连接,使用非阻塞的网络IO.由于它的工作机制是在内存中开辟一块空间,然后建立一个Hash ...

  4. Windows 10 Build 14997中Edge浏览器已默认阻止Flash运行

    在上周末偷跑的 Windows 10 Build 14997 向我们传递了很多信息,新增了蓝光过滤器等功能,并有望装备在即将到来的 Creators Update 中.经过深入发掘,外媒发现新版系统中 ...

  5. PHP 模拟 HTTP 基本认证(Basic Authentication)

    当某个页面需要认证才能进行访问时,接到请求后服务器端会在响应头中发送一个 WWW-Authenticate 首部(用来标识认证安全域),语法为 WWW-Authenticate:Basic relam ...

  6. 手机版本高于xcode,xcode的快速升级

    iPhone手机更新版本,xcode未更新时,不能真机测试 在xcode show in finder里面添加最新iPhone 版本 重启xcode即可 真机测试

  7. GAME AI Pro 1 第1章

    和钱康来合作翻译的AI PRO 1和2 系列,计划是一周一篇,先捡着有意思的翻,对那篇有兴趣也可以留言给我优先翻译,希望都翻译好后有机会成书吧,有兴趣一起翻译的也可以联系我. 游戏人工智能是什么( W ...

  8. Jquery--input

    - checkbox判断选中 checked = $("#admin_review_item_feature_" + id).is(':checked');

  9. vmstat和iostat

    一.vmstat1.命令示例#vmstat 5每5秒输出一次 2.输出详解procs -----------memory---------- ---swap-- -----io---- --syste ...

  10. JAVA枚举的作用与好处

    枚举是一种规范它规范了参数的形式,这样就可以不用考虑类型的不匹配并且显式的替代了int型参数可能带来的模糊概念 枚举像一个类,又像一个数组.Enum作为Sun全新引进的一个关键字,看起来很象是特殊的c ...