verilog中端口定义方式以及如何使用变量
一、module端口定义方式
目前有两种方式能够对module端口进行定义,
第一种是我目前使用比较多的,把I/O说明写在端口声明语句里,方式A:
1 module block(
2 input a,b,
3 output c,d
4 );
5
6 assign c=a|b;
7 assign d=a&b;
8 endmodule
第二种方式之前使用的较少,但是最近看到的一些代码都是这样写的,方式B:
1 module block(a,b,c,d);
2 input a,b;
3 output c,d;
4
5 assign c=a|b;
6 assign d=a&b;
7 endmodule
两种方式都可行,主要看个人习惯。
二、变量使用
verilog中比较重要的变量类型有三种,分别是wire型,reg型,memory型,简单说一下我认为重要的点。
1.wire型:
常用来表示用以assign关键字指定的组合逻辑信号,模块中输入、输出信号类型不进行reg定义的话默认定义为wire型。感觉一般在testbech中使用较多,比如针对输出信号:
module testbench();
reg [31:0]in;
wire [5:0]out;
...... endmodule
2.reg型:
即寄存器类型,通常用来表示“always”模块内的指定信号,常代表触发器。在“always”模块内被赋值的每一个信号都必须定义成reg型。
值得注意的是reg会与output一起使用,使输出信号传给输出端口,例如:
module counter(clk,rst_n,cnt);
input clk,rst_n;
output [3:0] cnt;
reg [3:0] temp; always@(posedge clk or negedge rst_n)begin
if(!rst_n)
temp<=0;
else
temp<=temp+1;
end assign cnt=temp; endmodule
这里是通过使用reg型变量temp来存储数据最后通过assign传输给cnt输出,temp属于内部变量。
也可以用output reg来达到同样的效果:
module counter(clk,rst_n,cnt);
input clk,rst_n;
output reg [3:0] cnt; always@(posedge clk or negedge rst_n)begin
if(!rst_n)
cnt<=0;
else
cnt<=cnt+1;
end endmodule
在这里将cnt当作reg型变量,在always块中直接赋值。
3.memory型:
可以当作verilog中的数组,格式如下:
reg[n-1:0] 存储器名[m-1:0];
或 reg[n-1:0] 存储器名[m:1];
即该存储器有m个n位的存储器。
举例说明:
reg[7:0] mema [255:0];
即定义一个名为mema的存储器,该存储器有256个8位的存储器,该存储器的地址范围是0-255。
值得注意的是reg型和memory型数据的区别,例如:
reg [n-1:0] a;
reg a [n-1:0];
前者是reg型,表示一个n位的寄存器;后者是memory型,表示一个由n个1位寄存器构成的存储器组。
注:本文为笔者的学习笔记,为个人学习复习所使用,水平有限,如有错误请谅解。
verilog中端口定义方式以及如何使用变量的更多相关文章
- Verilog中端口的连接规则
摘自于(15条消息) Verilog中端口应该设置为wire形还是reg形_CLL_caicai的博客-CSDN博客, 以及(15条消息) Verilog端口连接规则_「已注销」的博客-CSDN博客_ ...
- C语言中数组定义方式
<1>前言 大家首先来思考一个问题,若是我们想要定义两个变量,求这两个数的平均数,该怎么求呢? 例如:int a = 10,b = 20 int average = (a + b) / 2 ...
- verilog中初值定义
在利用verilog进行开发时,往往需要对某些寄存器进行赋初值,下面根据笔者在设计中遇到的情况进行分析. 例如下面是实现流水灯(4个led),代码如下: module ledrun ( input ...
- UE4 中Struct Emum 类型的定义方式 笔记
UE4 基础,但是不经常用总是忘记,做个笔记加深记忆: 图方便就随便贴一个项目中的STRUCT和 Enum 的.h 文件 Note:虽然USTRUCT可以定义函数,但是不能加UFUNCTION 标签喔 ...
- avalon1.5+中组件的定义方式
avalon在1.5之后引入新的组件定义和使用方式,其总的宗旨是为了使定义和使用组件更加简单 组件库的概念 首先,需要注意的是,引入了组件库的概念(也可以理解为namespace),之后定义的组件必须 ...
- verilog中参数传递与参数定义中#的作用(二)
一.module内部有效的定义 用parameter来定义一个标志符代表一个常量,称作符号常量,他可以提高程序的可读性和可维护性.parameter是参数型数据的关键字,在每一个赋值语句的右边都必须是 ...
- Java中数组的定义方式
数组定义方式一 动态方式(指定数组的长度) 格式: 数组存储的数据类型[]数组名字 = new 数组存储的数据类型[长度]; [] : 表示数组. 数组名字:为定义的数组起个变量名,满足标识符规范,可 ...
- Spring3.2 中 Bean 定义之基于 XML 配置方式的源码解析
Spring3.2 中 Bean 定义之基于 XML 配置方式的源码解析 本文简要介绍了基于 Spring 的 web project 的启动流程,详细分析了 Spring 框架将开发人员基于 XML ...
- 六十四、SAP中的内表的9种定义方式
一.内表一共有9种定义方式,如下: 二.执行如下 *&--------------------------------------------------------------------- ...
- Verilog中使用'include实现参数化设计
前段时间在FPGA上用Verilog写了一个多端口以太网的数据分发模块,因为每个网口需要独立的MAC地址和IP地址,为了便于后期修改,在设计中使用parameter来定义这些地址和数据总线的位宽等常量 ...
随机推荐
- vscode 尾逗号不自动删除 'comma-dangle': 'off' eslint vue
vscode 尾逗号不自动删除 'comma-dangle': 'off' eslint 外层环境说明 vscode eslint - .elintrs.js vue - vue开发 vetur - ...
- sourceTree Mac 跳过注册 安装
打开sourcetree 关闭sourcetree 命令终端输入defaults write com.torusknot.SourceTreeNotMAS completedWelcomeWizard ...
- 00-【K210】API资料、电气接线图、PCB文件
K210的接口说明文档 API接口文档: 链接:https://pan.baidu.com/s/1mlzYRJYQIeHSEMysp_v4cg?pwd=pjmv 提取码:pjmv 2.原理图.PCB文 ...
- LOTO示波器客户应用案例展示
LOTO示波器客户应用案例展示 LOTO示波器以软件功能为核心,采用独特的积木式可扩展的硬件架构,为多行业的电子电路研发工程师提供高性价比的解决方案.我们初步汇总了一些客户实测的应用案例展示如下: 1 ...
- 鸿蒙HarmonyOS实战-ArkUI组件(GridRow/GridCol)
一.GridRow/GridCol 1.概述 栅格布局是一种通用的辅助定位工具,可以帮助开发人员解决多尺寸多设备的动态布局问题.通过将页面划分为等宽的列数和行数,栅格布局提供了可循的规律性结构,方便开 ...
- 【论文项目复现1】漏洞检测项目复现_VulDeeLocator
复现环境 Ubuntu 20.04 CPU: 32G GPU: 11G 2080ti Source2slice: clang-6.0 + llvm + dg (dg: https://github.c ...
- C# 委托(delegate)本质理解
代码如下,很简单 namespace Delegate { class Program { delegate void SayHi(); void SayHi_1() { Console.WriteL ...
- 鸿蒙HarmonyOS实战-ArkUI组件(mediaquery)
一.mediaquery 1.概述 媒体查询(mediaquery)它允许根据设备的不同特性(如屏幕大小.屏幕方向.分辨率.颜色深度等)来动态地调整网页的样式和布局. 通过媒体查询,可以为不同的设备定 ...
- 化腐朽为神奇的QueryMapping
化腐朽为神奇的QueryMapping 老车除了报废没别的方法? 应用系统就像老车,经过十几二十年的使用,积累了大量里程数据,但是英雄迟暮,反应迟钝,时不时还要病休.但就这样报废,推到重来,如果没有充 ...
- C++设计模式 - 工厂方法(Factory Method)
对象创建模式 通过"对象创建"模式绕开new ,来避免对象创建( new )过程中所导致的紧耦合(依赖具体类) , 从而支持对象创建的稳定.它是接口抽象之后的第一步工作. 典型模式 ...