quartus在线调试的方法

在Quartus II Version 7.2 Handbook Volume 3: Verification中的Section V. In-System Design Debugging里分5章介绍了5种方法:

1. Quick Design Debugging Using SignalProbe

信号探针方式不影响原有的设计功能和布局布线,

只是通过增加额外布线将需要观察调试的信号连接到预先保留或者暂时不使用的I/O接口。

信号电平会随布线有一定的延时,不适合于高速、大容量信号观察调试,也不适合做板级时序分析。

它的优势在于不影响原有设计,额外资源消耗几乎为零,

调试中也不需要保持连接JTAG等其他线缆,

能够最小化编译或是重编译的时间。

2. Design Debugging Using the SignalTap II Embedded Logic Analyzer

在线逻辑分析仪很大程度上可以替代昂贵的逻辑分析仪,为开发节约成本;

同时也为调试者省去了原本繁琐的连线工作,而有些板级连接的外部设备很能观察到的信号都能够被轻松的捕获。

如果对设计进行模块的区域约束,也能够最小化使用在线逻辑分析仪对设计带来的影响。

在线逻辑分析仪的采样存储深度和宽度都在一定程度上受制于FPGA器件资源的大小。

使用该方式必须通过JTAG接口,

它的采样频率可以达到200MHz(若器件支持)以上,而不用像外部调试设备一样担心信号完整性问题。

3. Logic Analyzer Interface using external logic analyzers

逻辑分析仪接口针对于外部逻辑分析仪的。

调试者可以设置FPGA器件内部多个信号映射到一个预先保留或者暂时不使用的I/O接口上,

从而通过较少的I/O接口就能够观察FPGA内部的多组信号。

4. In-System Updating of Memory and Constants using In-System Memory Content Editor

在线存储内容编辑是针对设计中例化的内嵌存储器内容或常量的调试。

可以通过这种方式在线重写或者读出工程中的内嵌存储器内容或常量。

对于某些应用可以通过在线更改存储器内容后观察响应来验证设计,也可以在不同激励下在线读取当前存储内容来验证设计。

5. Design Debugging Using In-System Sources and Probes

这种方式是通过例化一个定制的寄存器链到FPGA器件内部。

这些寄存器链通过JTAG接口与Quartus II通信,

它又能够驱动FPGA器件内部的某些输入节点信号,采样某些输出节点信号。

这就使得调试者不用借助外部设备就能够给FPGA添加激励并观察响应。

在这里方便的观察FPGA内的寄存器的变化,甚至是可以实时的观察其变化,

基本上用起来和外部接一个示波器或逻辑分析仪没有区别了。

用起来相当的方便,只是一个简单例化连接映射,重新编译后就可以使用了。

quartus在线调试的方法的更多相关文章

  1. STM32启动地址设置及从非0x800000 开始调试程序

    首先设置程序的启动地址,STM32默认的启动地址是从0x8000000开始的,现在我要设置程序向后偏移10K地址,也就是从0x8002800启动. 需要分两步完成上面操作: 一.Keil MDK设置: ...

  2. javaSE27天复习总结

    JAVA学习总结    2 第一天    2 1:计算机概述(了解)    2 (1)计算机    2 (2)计算机硬件    2 (3)计算机软件    2 (4)软件开发(理解)    2 (5) ...

  3. Quartus II9.0 使用中文输入的方法

      Quartus II可以用中文了 我们都知道高版本的quartus里面不支持中文,就连最新版的10.0也不支持,还好找到了一种方法,和大家分享一下: 具体步骤:quartus ——tools——o ...

  4. Quartus II中FPGA的管脚分配保存方法

    一.摘要 将Quartus II中FPGA管脚的分配及保存方法做一个汇总. 二.管脚分配方法 FPGA 的管脚分配,除了在QII软件中,选择“Assignments ->Pin”标签(或者点击按 ...

  5. 关于Quartus II 13.0对应开发NIOS II软件程序时报错Symbol 'NULL' could not be resolved问题的解决方法

    关于Quartus II 13.0对应开发NIOS II软件程序时报错Symbol 'NULL' could not be resolved问题的解决方法 近期在评估使用NIOS II处理器进行项目的 ...

  6. 【转载】关于quartus ii软件中注释乱码问题的解决方法

    最近在看Verilog代码,由于我的quartus版本打开他们的文件注释会全部乱码,痛苦万分!从网上找了下原因,解决方法基本没有,不过看到有人提出是编码的问题,立马我就想到一个解决方法,经过实验果然有 ...

  7. Quartus调用Modelsim SE避免重复编译Altera器件库的方法

    最近用Quartus 15.0配合Modelsim SE 10.4的64位版本,简直就是闪电一般的仿真速度.但是众所周知,SE版本最大的问题就是每次由Quartus自动调用时,都要重新编译所使用的器件 ...

  8. Quartus中代码字体大小的调整方法

    Quartus中代码大小的调整方法 网友 "一纸玫瑰"整理 第一步:点击Tools(工具) 第二步:点击Options(选项) 第三步:Text Editor(文本编辑)/Font ...

  9. (转载)Quartus II中FPGA的管脚分配保存方法(Quartus II)

    一.摘要 将Quartus II中FPGA管脚的分配及保存方法做一个汇总. 二.管脚分配方法 FPGA 的管脚分配,除了在QII软件中,选择"Assignments ->Pin&quo ...

随机推荐

  1. linux基础之磁盘管理与文件系统

    上面一篇文章(https://www.cnblogs.com/ckh2014/p/7224851.html)介绍了硬盘的基本结构,那么一块磁盘如何应用取存储数据呢? 它的步骤是这样的: 识别硬盘 -- ...

  2. 【32】Padding(填充)原理讲解

    Padding 为了构建深度神经网络,你需要学会使用的一个基本的卷积操作就是padding,让我们来看看它是如何工作的.   我们在之前笔记中看到,如果你用一个3×3的过滤器卷积一个6×6的图像,你最 ...

  3. [PAT] A1017 Queueing at Bank

    [思路] 1:将所有满足条件的(到来时间点在17点之前的)客户放入结构体中,结构体的长度就是需要服务的客户的个数.结构体按照到达时间排序. 2:wend数组表示某个窗口的结束时间,一开始所有窗口的值都 ...

  4. 知乎-如何rebuttal

    作者:魏秀参链接:https://zhuanlan.zhihu.com/p/104298923来源:知乎著作权归作者所有.商业转载请联系作者获得授权,非商业转载请注明出处. 学术论文是发布自己或团队最 ...

  5. 题解【AcWing1090】绿色通道

    题面 题目要求出最长的空题段最短的长度,显然可以二分答案. 考虑如何 check. 设二分到的值是 \(x\),即最长的空题段长度至少为 \(x\). 其实整个 check 的过程可以看作一个 DP, ...

  6. 中文 json_encode之后字符长度问题

    问题描述: 将某个字符串$str 进行json编码,即json_encode($str)后变成Unicode字符存入数据库,会发现中文的长度明明没有超过设置的字符长度最大值,但是却抛出字段长度过长错误 ...

  7. 深入浅出Mybatis系列七-mapper映射文件配置之insert、update、delete

    注:本文转载自南轲梦 注:博主 Chloneda:个人博客 | 博客园 | Github | Gitee | 知乎 上篇文章<深入浅出Mybatis系列(六)---objectFactory.p ...

  8. HTTP 协议的 8 种请求类型介绍

    HTTP 协议的 8 种请求类型介绍 HTTP 协议中共定义了八种方法或者叫“动作”来表明对 Request-URI 指定的资源的不同操作方式,具体介绍如下: OPTIONS:返回服务器针对特定资源所 ...

  9. Appium学习1-安装

    Appium简介 Appium 是一个开源的.跨平台的测试框架,可以用来测试 Native App.混合应用.移动 Web 应用(H5 应用)等,也是当下互联网企业实现移动自动化测试的重要工具.App ...

  10. 获取mybaties插入记录自动增长的主键值

    首先在Mybatis Mapper文件中insert语句中添加属性“useGeneratedKeys”和“keyProperty”,其中keyProperty是保存主键值的属性. 例如: <in ...