实验8 #第8章 Verilog有限状态机设计-3 #Verilog #Quartus #modelsim
3. 状态机A/D采样控制电路
3.1 目标:用状态机控制ADC0809实现数据采集。
3.2 ADC0809简介
(1)ADC0809是8位A/D转换器,片内有8路模拟开关,可控制8个 模拟量中 的1个进入转换器中。完成一次转换的时间约100us。含锁存控制的8个
多路开关,输出有三态缓冲器控制,单5V供电。ADC0809的外部引脚,工作时序图详见其数据手册。start是转换启动信号,高电平有效。ale是
地址锁存信号。eoc是转换状态信号,约100us,上跳沿表示转换结束。oe是输出使能。
(2)ADC0809更详细的说明可参阅数据手册和数字电路基础里的模数转换部分,比如,康华光版数电书里有讲解逐次逼近的转换原理,用天平称重
选砝码的过程来类比对分法,高位为1,先跟1/2的vref比较,然后再更加或减一半的一半比较,循环下去,8位就比较8次,得到一组8位的数字编码
对应当前输入的模拟量的最接近的值。也用到了极限的思想。这样,模拟量的输入就转换成数字量的输出了。
3.3 这个实验要实现的就是用Verilog HDL来设计一个状态机控制逻辑,控制ADC0809的时序,完成一个数据采集的功能。
(1)设计思想:研究ADC的功能描述和引脚说明,时序图,把时序分成几个状态;然后把adc的输入信号和输出信号颠倒,作为控制逻辑的输入和输出。
(2)ADC0809采样控制电路的Verilog 代码:
1 // adc0809 control circuit
2 //2020-11-3
3 // by YongFengXie
4 module adc0809(
5 input clk,
6 input [7:0] d, //adc0809 output
7 input clr,
8 input eoc,
9 output reg ale,
10 output reg start,
11 output reg oe,
12 output adda, // LSB of the 3 input signal chanel
13 output lock0, // data lock signal
14 output [7:0] q); // output data
15 reg lock;
16 parameter s0='d0,s1='d1,s2='d2,s3='d3,s4='d4;
17 reg [2:0] current_state,next_state;
18 reg [7:0] rel;
19
20 assign adda = 0;
21 assign lock0=lock;
22 assign q=rel;
23
24 always @(posedge clk or posedge clr)
25 begin
26 if(clr)
27 current_state <= s0;
28 else
29 current_state <= next_state;
30 end
31
32 always @(posedge lock)
33 begin
34 rel <= d;
35 end
36
37 always @(current_state,eoc)
38 begin
39 case(current_state)
40 s0:begin
41 ale<=1'b0;
42 start<=1'b0;
43 lock<=1'b0;
44 oe<=1'b0;
45 next_state<=s1;
46 end
47 s1:begin
48 ale<=1'b1;
49 start<=1'b1;
50 lock<=1'b0;
51 oe<=1'b0;
52 next_state<=s2;
53 end
54 s2:begin
55 ale<=1'b0;
56 start<=1'b0;
57 lock<=1'b0;
58 oe<=1'b0;
59 if(eoc)
60 next_state<=s3;
61 else
62 next_state<=s2;
63 end
64 s3:begin
65 ale<=1'b0;
66 start<=1'b0;
67 lock<=1'b0;
68 oe<=1'b1;
69 next_state<=s4;
70 end
71 s4:begin
72 ale<=1'b0;
73 start<=1'b0;
74 lock<=1'b1;
75 oe<=1'b1;
76 next_state<=s0;
77 end
78 default:next_state<=s0;
79 endcase
80 end
81
82 endmodule
83
84
adc0809测试代码:
1 // adc0809 control circuit testbench
2 //2020-11-3
3 // by YongFengXie
4 `timescale 1us/1us
5 module adc0809_tb;
6
7 reg clk;
8 reg [7:0] d; //adc0809 output
9 reg clr;
10 reg eoc;
11 wire ale;
12 wire start;
13 wire oe;
14 wire adda; // LSB of the 3 input signal chanel
15 wire lock0; // data lock signal
16 wire [7:0] q;
17
18 adc0809 ub(clk,d,clr,eoc,ale,start,oe,adda,lock0,q);
19
20 initial begin
21 clk=1'b0;
22 clr=1'b1;
23 d=8'b1011_1010;
24 eoc=1'b1;
25 #20 clr=1'b0;
26 #100 eoc=1'b0;
27 #150 eoc=1'b1;
28 #10 d=8'b0001_0010;
29 #500 $stop;
30 end
31
32 always #5 clk=~clk;
33
34 endmodule
仿真结果:
实验8 #第8章 Verilog有限状态机设计-3 #Verilog #Quartus #modelsim的更多相关文章
- 第2章 面向对象的设计原则(SOLID):2_里氏替换原则(LSP)
2. 里氏替换原则(Liskov Substitution Principle,LSP) 2.1 定义 (1)所有使用基类的地方必须能透明地使用子类替换,而程序的行为没有任何变化(不会产生运行结果错误 ...
- [Python学习笔记][第五章Python函数设计与使用]
2016/1/29学习内容 第四章 Python函数设计与使用 之前的几页忘记保存了 很伤心 变量作用域 -一个变量已在函数外定义,如果在函数内需要修改这个变量的值,并将这个赋值结果反映到函数之外,可 ...
- 《.NET 设计规范》第 5 章:成员设计
<.NET 设计规范>第 5 章:成员设计 5.1 成员设计的通用规范 要尽量用描述性的参数名来说明在较短的重载中使用的默认值. 避免在重载中随意地改变参数的名字.如果两个重载中的某个参数 ...
- MySQL性能调优与架构设计——第 18 章 高可用设计之 MySQL 监控
第 18 章 高可用设计之 MySQL 监控 前言: 一个经过高可用可扩展设计的 MySQL 数据库集群,如果没有一个足够精细足够强大的监控系统,同样可能会让之前在高可用设计方面所做的努力功亏一篑.一 ...
- MySQL性能调优与架构设计——第 17 章 高可用设计之思路及方案
第 17 章 高可用设计之思路及方案 前言: 数据库系统是一个应用系统的核心部分,要想系统整体可用性得到保证,数据库系统就不能出现任何问题.对于一个企业级的系统来说,数据库系统的可用性尤为重要.数据库 ...
- MySQL性能调优与架构设计——第12章 可扩展设计的基本原则
第12章 可扩展设计的基本原则 前言: 随着信息量的飞速增加,硬件设备的发展已经慢慢的无法跟上应用系统对处理能力的要求了.此时,我们如何来解决系统对性能的要求?只有一个办法,那就是通过改造系统的架构体 ...
- FPGA Prototyping By Verilog Examples第五章 状态机FSM设计
上升沿检测电路之Moore型FSM // Listing 5.3module edge_detect_moore ( input wire clk, reset, input wire level, ...
- 第2章 面向对象的设计原则(SOLID):3_依赖倒置原则(DIP)
3. 依赖倒置原则(Dependence Inversion Principle,DIP) 3.1 定义 (1)要依赖抽象,不要依赖具体的实现类.简单的说就是对抽象(或接口)进行编程,不要依赖实现进行 ...
- 【基本知识】FMS有限状态机设计
有限状态机是Verilog中十分基本也是十分重要的知识.本文对有限状态机做了一个简单介绍. 1.状态机三要素 有限状态机具有三个要素:状态跳转.跳转判断.状态操作: 1)状态跳转:现态跳转到次态: 2 ...
- 实验六 序列信号检测器的VHDL设计
一.实验目的 (1)进一步熟悉Quartus II软件和GW48-PK2S实验系统的使用方法: (2)用状态机实现序列检测器的设计,了解一般状态机的设计与应用 二.实验内容 1. 基本命题 利用Qua ...
随机推荐
- 主nginx和子nginx-------域名-端口-解答
主nginx和子nginx-------域名-端口-解答 想象一下Nginx是一个接待员,每个端口就像接待员的一个电话线,而server_name就像是客户拨打的不同号码. 当你在Nginx配置文件里 ...
- 使用maven命令安装Oracle的jar包到本地仓库
mvn install:install-file -DgroupId=com.oracle -DartifactId=ojdbc6 -Dversion=11.2.0.4 -Dpackaging=jar ...
- Make It Equal 题解
Problem Link 简要题意 翻译很清楚. 思路 提供一种简单直接的思路. 可以发现最多会操作 \(n\) 次. 那么就可以每次直接枚举切的高度 \(h\),检查更改是否超过 \(k\),之后暴 ...
- Java也可以像python般range出连续集合
Java lamada:IntStream --range(int startInclusive, int endExclusive):前包后不包 IntStream.range(0, 10).for ...
- 10 JavaScrit定时器
10 JavaScrit定时器 在JS中, 有两种设置定时器的方案: // 语法规则 t = setTimeout(函数, 时间) // 经过xxx时间后, 执行xxx函数 // 5秒后打印我爱你 t ...
- OpenHarmony社区运营报告(2023年9月)
●9月12日,由宁夏回族自治区教育厅.OpenAtom OpenHarmony(以下简称"OpenHarmony")项目群工作委员会指导,北京新大陆时代科技有限公司主办,宁夏职 ...
- C 语言文件处理全攻略:创建、写入、追加操作解析
C 语言中的文件处理 在 C 语言中,您可以通过声明类型为 FILE 的指针,并使用 fopen() 函数来创建.打开.读取和写入文件: FILE *fptr; fptr = fopen(filena ...
- openGauss每日一练第四天
openGauss 每日一练第四天 本文出处:https://www.modb.pro/db/193083 学习地址 https://www.modb.pro/course/133 学习目标 学习 o ...
- HDC2021技术分论坛:吐司盒子?芝士码?HarmonyOS音视频测试来啦
作者:lifusheng,用户体验技术专家 当下,音视频无处不在,很多设备和应用都涉及音视频.因而,对于HarmonyOS开发者们来说,如何对鸿蒙生态产品进行音视频测试是一个非常重要的问题. 华为Ha ...
- HDC2021技术分论坛:分布式软时钟有多重要?
作者:lishijun,HarmonyOS解决方案首席技术专家&软总线首席架构师 分布式软总线是HarmonyOS的关键根技术之一,也是众多开发者们非常关注的一项技术.通过分布式软总线,可以将 ...