前半部分转自http://www.cnblogs.com/Mrseven/articles/2247657.html,后半部分为自己测试结果。

基础知识:verilog 不可综合语句

(1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter。
    (2)所有综合工具都不支持的结构:time,defparam,$finish,fork,join,initial,delays,UDP,wait。
    (3)有些工具支持有些工具不支持的结构:casex,casez,wand,triand,wor,trior,real,disable,forever,arrays,memories,repeat,task,while。

建立可综合模型的原则
    要保证Verilog HDL赋值语句的可综合性,在建模时应注意以下要点:
    (1)不使用initial。
    (2)不使用#10。
    (3)不使用循环次数不确定的循环语句,如forever、while等。
    (4)不使用用户自定义原语(UDP元件)。
    (5)尽量使用同步方式设计电路。
    (6)除非是关键路径的设计,一般不采用调用门级元件来描述设计的方法,建议采用行为语句来完成设计。
    (7)用always过程块描述组合逻辑,应在敏感信号列表中列出所有的输入信号。
    (8)所有的内部寄存器都应该能够被复位,在使用FPGA实现设计时,应尽量使用器件的全局复位端作为系统总的复位。
    (9)对时序逻辑描述和建模,应尽量使用非阻塞赋值方式。对组合逻辑描述和建模,既可以用阻塞赋值,也可以用非阻塞赋值。但在同一个过程块中,最好不要同时用阻塞赋值和非阻塞赋值。
    (10)不能在一个以上的always过程块中对同一个变量赋值。而对同一个赋值对象不能既使用阻塞式赋值,又使用非阻塞式赋值。
    (11)如果不打算把变量推导成锁存器,那么必须在if语句或case语句的所有条件分支中都对变量明确地赋值。
    (12)避免混合使用上升沿和下降沿触发的触发器。
    (13)同一个变量的赋值不能受多个时钟控制,也不能受两种不同的时钟条件(或者不同的时钟沿)控制。
    (14)避免在case语句的分支项中使用x值或z值。

 

在synplify_pro中综合的结果:

(1)initial 不可综合。提示错误信息是Assignment target <buffer> must be of type reg, genvar, or logic。

(2)enent。

在testbench中,可以使用event变量触发事件。

event变量声明为:

event var;

event触发为:

->var;

捕获触发为:

@(var);

实例代码如下:

module hardreg_top(d,q,clk);
input d,clk;
output q;

reg q;

event end_first_pass;// ---------------1

always@(end_first_pass)
q = d;// ----------------2

always@(posedge clk)

->end_first_pass; //----------------3

endmodule

不可综合,提示Synthesis of event variable end_first_pass is not supported yet。

(3)real  :实数变量。

不可综合 。提示:Synthesis of real X is not supported yet

(4)time :$time 显示系统时间

综合的时候不提示错误,可以出结果。但是time对综合出的结果没有影响。

因为time在仿真时,它的功能是由你的电脑自身的软件资源和硬件资源提供的,并不是由你设计的东西提供的,综合的时候,不可能把你的整个电脑都综合进去。

(5)force 和 release

force 和 release 用于寄存器类型和网络连接类型(例如:门级扫描寄存器的输出)的强制赋值,强制改写其它地方的赋值。

     initial begin

          # 10 force top.dut.counter.scan_reg.q=0;

          # 20 release top.dut.counter.scan_reg.q;

      end

     在以上两个例子中,在10到20 这个时间段内,网络或寄存器类型的信号被强制赋值,而别处对该变量的赋值均无效。

force的赋值优先级高于assign。

如果先使用assign,再使用force对同一信号赋值,则信号的值为force所赋 的值。

不可综合。 提示:Expecting endmodule。

(6)assign 和deassign

assign和deassign 适用于对寄存器类型的信号(例如:RTL级上

    的节点或测试模块中在多个地方被赋值的信号)进行赋值。

不可综合。提示:Expecting endmodule。

(7)fork join

应该不可综合,但是我测试的结果是可以综合,例子如下:

module test(

           d1,

           clk,

           q1,

           q2

           );

    input d1,clk;     
    output q1;

    output q2;

    reg q1,q2;

   
    always @  (posedge clk)

       fork

        q1 = d1;

        q2 = q1;

       join

endmodule

综合结果为:

`timescale 100 ps/100 ps

module test (

  d1,

  clk,

  q1,

  q2

)

;

input d1 ;

input clk ;

output q1 ;

output q2 ;

wire d1 ;

wire clk ;

wire q1 ;

wire q2 ;

wire GND ;

wire VCC ;

wire d1_c ;

wire clk_c ;

wire q1_c ;

wire q2_c ;

  GSR GSR_INST (

    .GSRI(VCC)

);

// @5:8

  IBUF d1_ibuf (

    .O(d1_c),

    .I(d1)

);

// @5:8

  IBUF clk_ibuf (

    .O(clk_c),

    .I(clk)

);

// @5:11

  OBUF q1_obuf (

    .O(q1),

    .I(q1_c)

);

// @5:11

  OBUF q2_obuf (

    .O(q2),

    .I(q2_c)

);

// @5:13

  DFF q2_Z (

    .Q(q2_c),

    .D(q1_c),

    .CLK(clk_c)

);

// @5:13

  DFF q1_Z (

    .Q(q1_c),

    .D(d1_c),

    .CLK(clk_c)

);

  GND GND_cZ (

    .G(GND)

);

  VCC VCC_cZ (

    .V(VCC)

);

endmodule /* test */

其中的原因暂时还没发现。应当避免使用这种情况。

(8)敏感列表里同时带有posedge和negedge

不可综合。提示:posedge and negedge of the same single is not allowed

(9)同一个reg变量被多个always块驱动

不可综合。提示:Only one always block can assign a given variable X。

(10)延时

可以综合,但是延时对结果没有影响。

(11)defparam

这个测试之后是可以综合的。因此,前面说不可综合是不正确的。

(12)UDP :用户自定义元件

例如:

primitive tmp (O, I0, I1, S);

output O;

input I0, I1, S;

table

// I0  I1  S     O

   0   ?   0  :  0 ;

   1   ?   0  :  1 ;

   x   ?   0  :  x ;

   ?   0   1  :  0 ;

   ?   1   1  :  1 ;

   ?   x   1  :  x ;

   0   0   x  :  0 ;

   0   1   x  :  x ;

   1   0   x  :  x ;

   1   1   x  :  1 ;

   ?   x   x  :  x ;

   x   ?   x  :  x ;

endtable

endprimitive

不可综合。提示:can't synthesis UDP primatives。

(13)wait

不可综合。提示:expecting endmodule

(14)casex,casez

可综合

 

今天测试了这么多,以后再补充。

不可综合的verilog语句分析的更多相关文章

  1. 主流图数据库Neo4J、ArangoDB、OrientDB综合对比:架构分析

    主流图数据库Neo4J.ArangoDB.OrientDB综合对比:架构分析 YOTOY 关注 0.4 2017.06.15 15:11* 字数 3733 阅读 16430评论 2喜欢 18 1: 本 ...

  2. tsql语句分析工具 转

    一款好用且免费的语句分析工具 在调优过程中的查询语句优化阶段,分析语句的执行计划是必经之路,一款好的执行计划分析工具确实可以帮助我们事半功倍 一款名为“Plan Explorer“,自己用的挺爽,不私 ...

  3. 串口接收端verilog代码分析

    串口接收端verilog代码分析 `timescale 1ns / 1ps ////////////////////////////////////////////////////////////// ...

  4. 串口发送端verilog代码分析

    串口发送端verilog代码分析 `timescale 1ns / 1ps ////////////////////////////////////////////////////////////// ...

  5. verilog 代码分析与仿真

    verilog 代码分析与仿真 注意:使用vivado 自带的仿真工具, reg和wire等信号需要赋予初始值 边沿检测 module signal_test( input wire cmos_pcl ...

  6. sqlserver 抓取所有执行语句 SQL语句分析 死锁 抓取

    原文:sqlserver 抓取所有执行语句 SQL语句分析 死锁 抓取 在多人开发中最头疼的是人少事多没有时间进行codereview,本来功能都没时间写,哪有时间来开会细细来分析代码.软件能跑就行, ...

  7. MongoDB分析工具之一:explain()语句分析工具

    explain(),语句分析工具 MongoDB 3.0之后,explain的返回与使用方法与之前版本有了很大的变化,介于3.0之后的优秀特色和我们目前所使用给的是3.0.7版本,本文仅针对Mongo ...

  8. Lucene中Analyzer语句分析

    Lucene中Analyzer语句分析,利用lucene中自带的词法分析工具Analyzer,进行对句子的分析. 源代码如下: package com.test; import java.io.IOE ...

  9. python通过一个语句分析几个常用函数和概念

    前言 过年也没完全闲着,每天用一点点时间学点东西,本文为大家介绍几个python操作的细节,包含all.any.for in等操作,以及介绍我解决问题的思路. 一.开篇 先从我看到的一个简单的语句开始 ...

随机推荐

  1. Ubuntu package offline install

    apt-get Use apt-get with the "--print-uris" option to do it. I also add "-qq" so ...

  2. C语言函数qsort的使用方法

    qsort函数stdlib.h文件中,函数原型为 void qsort(void *base,size_t nelem,size_t width,int (*Comp)(const void *,co ...

  3. Linux下去掉Windows文件的^M

    Windows下文件换行符为\r\n  而Linux下文件的换行为\n 因此在Linux下可以使用vim编辑文件  使用全文替换命令 :%s/\r//g 将\r全部替换掉 也可以在Linux使用dos ...

  4. VS 2012 插件卸载(删除自己安装的插件)

    给VS 装了一个插件,装完之后感觉别扭,所以想卸载,[工具]--> [扩展和更新]-->[找到想要卸载的插件点击一下就会出现禁用或卸载]

  5. poj3650---将一个字符串中的特定字符转换

    #include <stdio.h> #include <stdlib.h> #include<string.h> int main() { ]; int i; w ...

  6. UVA 10003 Cutting Sticks 切木棍 dp

    题意:把一根木棍按给定的n个点切下去,每次切的花费为切的那段木棍的长度,求最小花费. 这题出在dp入门这边,但是我看完题后有强烈的既是感,这不是以前做过的石子合并的题目变形吗? 题目其实就是把n+1根 ...

  7. AndroidUI 布局动画-点九PNG技术

    下面是正常情况与使用点9切图以后的效果对比: <Button android:id="@+id/button1" android:layout_width="fil ...

  8. rem布局

    <!doctype html> <html lang="en"> <head> <meta charset="UTF-8&quo ...

  9. c++策略模式

    这几天需要学习一下设计模式来为设计代码结构使得代码可扩展性强,代码更加易于维护,不用想很长时间也不知道怎么去设计一个工具的代码. 我的理解策略模式: 1.有一个策略基类,策略类是什么呢?策略类就是一个 ...

  10. Ubuntu12.04下eclipse提示框黑色背景色的修改方法

    eclipse提示框的背景颜色使用的是系统的提示框颜色配置,在windows下为黄色,但在Ubuntu12.04(gnome)下却是黑色,造成提示内容很难看清. 在eclipse中我们是无法修改这个颜 ...