verilog 仿真时读取txt文件
- reg [:]data;
- initial begin
- # clk =;
- forever # clk = ~clk;
- end
- initial begin
- # rst=;
- # rst=;
- end
- reg [:]data_sin[:]; ////改动点数据矩阵长度设置
- integer i;
- initial
- begin
- i=;
- begin
- $readmemb("D:/Chapter_8/E8_1_QAMModem/QAM.txt",data_sin,,); ///改动点数据矩阵长度 注意是“/” 而不是“\”
- end
- forever
- begin
- @(posedge clk)
- begin
- i <= i+;
- din <= data_sin[i];
- end
- end
- end
- endmodule
matlab 写txt文本的代码
- fid = fopen('data.txt','w');
- for oo=1:1:i
- if mod(oo,10) == 0
- fprintf(fid,'%f,%f,\n',sI1(oo),sQ1(oo));
- else
- fprintf(fid,'%f,%f,',sI1(oo),sQ1(oo));
- end
- end
- fclose(fid);
verilog 对应的写文件,写入IQ数据
- integer file_out;
- initial
- begin
- file_out = $fopen("mI.txt");
- if (!file_out) begin
- $finish;
- end
- end
- wire signed [:] dout_s = fifo_fft_data[:];
- always @ (posedge clk)
- begin
- if(fifo_fft_valid)
- $fdisplay(file_out, "%d", dout_s);
- end
- integer file_out_Q;
- initial
- begin
- file_out_Q = $fopen("mQ.txt");
- if (!file_out_Q) begin
- $finish;
- end
- end
- wire signed [:] dout_s_Q = fifo_fft_data[:];
- always @ (posedge clk)
- begin
- if(fifo_fft_valid)
- $fdisplay(file_out_Q, "%d", dout_s_Q);
- end
- 对应以上文件的matlab 读取数据:
- %读取FPGA仿真出的数据
- clc;
- clear;close all;
- fid=fopen('mI.txt','r');
- [di,N]=fscanf(fid,'%lg',inf);
- fclose(fid);
- fid=fopen('mQ.txt','r');
- [dq,N]=fscanf(fid,'%lg',inf);
- fclose(fid);
- exp1=di+dq*1i;
- % exp2 = exp1(25000:30000);
- % exp2 = exp1(1024:8192);
- exp2 = exp1;
- plot(di);
- figure;
- plot(dq);
- figure;
- plot(20*log10(abs(fft((exp2).* window(@gausswin,length(exp2),4)))));
verilog 仿真时读取txt文件的更多相关文章
- Java中读取txt文件中中文字符时,出现乱码的解决办法
这是我写的一个Java课程作业时,遇到的问题. 问题描述: 我要实现的就是将txt文件中的内容按一定格式读取出来后,存放在相应的数组. 我刚开始运行时发现,英文可以实现,但是中文字符就是各种乱码. 最 ...
- Qt读取TXT文件时,GBK与UTF-8编码判断
读取txt文件时,很多时候无法获取文件的编码格式.如果直接进行使用,则有可能出现乱码.需要在使用前将其转为Unicode(Qt的默认编码格式). 虽然实际的编码格式种类非常多,但平常主要使用的有GBK ...
- JAVA读取TXT文件、新建TXT文件、写入TXT文件
1.创建TXT文件 按照正常的逻辑写就好 先定义一个文件给定一个路径——>判断这个路径上这个文件存不存在——>若不存在则建立,try/catch根据程序提示自动生成就好 2.读取TXT文件 ...
- 路径正确下,Eclipse读取txt文件仍失败
症状:使用Eclipse读取文件时,路径输入确认正确(前提!!!),但控制台总报错: 错误类型一: Exception in thread "main" java.io.FileN ...
- 别再用"while (!feof(file))"来逐行读取txt文件了!
起因 执行一个C/C++程序出现segment fault.它逐行读取文本文件,每一行是一个图片名字,然后读图.处理图像,etc. 发现最后一次读取的文件名不存在(空的). 正确的逐行读取txt文件 ...
- 解决Requests中文乱码【有用】,读取htm文件 读取txt文件报错:UnicodeDecodeError: 'utf-8' codec can't decode byte 0xc8 in position 0
打开这个网址https://blog.csdn.net/chaowanghn/article/details/54889835 python在open读取txt文件时,出现UnicodeDecodeE ...
- C# 只读模式读取txt文件内容
读取txt文件时,提示异常: 文件“..\Log\all_info.txt”正由另一进程使用,因此该进程无法访问此文件 原因: 日志文件通过lognet生成的日志文件(C#使用log4net记录日志) ...
- 按字节读取txt文件缓存区大小设置多少比较好?
读取 txt 文件常规写法有逐行读取和按照字节缓存读取,那么按照字节缓存读取时,设置缓存区多大比较好呢?百度了一下,没发现有说这个问题的,自测了一把,以事实说话. 常规读取方法如下: // 字节流读取 ...
- python3 读取txt文件数据,绘制趋势图,matplotlib模块
python3 读取txt文件数据,绘制趋势图 test1.txt内容如下: 时间/min cpu使用率/% 内存使用率/% 01/12-17:06 0.01 7.61 01/12-17:07 0.0 ...
随机推荐
- 【学习总结】Git学习-本地仓库覆盖式更新对于Git仓库的影响以及pull/push到GitHub
< 许久不用Git之后的探索 > 准备日常更新自己的GitHub了.但是编写的文件平时不放在Git仓库路径下. 故测试覆盖式更新对于仓库是否有影响 直接说结论: 通过对已有库的测试发现覆盖 ...
- Django rest framework 使用haystack对接Elasticsearch
Elasticsearch 介绍 ElasticSearch是一个基于Lucene的搜索服务器.它提供了一个分布式多用户能力的全文搜索引擎,基于RESTful web接口.Elasticsearch是 ...
- centos7下root密码丢失解决方案
1 root密码忘记 A.[rd.break方式更改root密码!] 1.重启 CentOS 7.X,在系统引导倒计时的时候快速按键盘上的[ ↑ ]或[ ↓ ]键,使其停留在GRUB菜单界面,并按照下 ...
- MacOS搭建本地服务器
MacOS搭建本地服务器 一,需求分析 1.1,开发app(ios android)时通常需往app中切入web页面,直接导入不行,故需搭建本地的测试网站服务,通过IP嵌入访问页面. 1.2,开发小程 ...
- CodeForces 1151C Problem for Nazar
题目链接:http://codeforces.com/problemset/problem/1151/C 题目大意: 有一个只存奇数的集合A = {1, 3, 5……2*n - 1,……},和只存偶数 ...
- [BZOJ 3110] [ZJOI 2013] K大数查询
Description 有 \(N\) 个位置,\(M\) 个操作.操作有两种,每次操作如果是: 1 a b c:表示在第 \(a\) 个位置到第 \(b\) 个位置,每个位置加入一个数 \(c\): ...
- rest framework 分页,版本
分页 分页器的引入 from rest_framework.pagination import PageNumberPagination, LimitOffsetPagination, CursorP ...
- NOI真题记录
NOI2001 食物链,拓展域并查集. 炮兵阵地,棋盘状压DP. NOI2002 银河英雄传说,kruskal重构树/带权并查集. 贪吃的九头龙,树形DP. NOI2003 逃学的小孩,树形DP,二次 ...
- bzoj2253 纸箱堆叠
题目链接 题意 求三元组的严格上升子序列 思路 先考虑暴力\(dp\)一下 for(int i = 1;i <= n;++i) for(int j = 1;j < i;++j) if(x[ ...
- linux18.04+jdk11.0.2+hadoop3.1.2部署伪分布式
1. 下载 安装hadoop3.1.2http://mirror.bit.edu.cn/apache/hadoop/common/hadoop-3.1.2/hadoop-3.1.2.tar.gz 注意 ...