Verilog - ABS代码重构
https://mp.weixin.qq.com/s/-KUviTzO3Hdir_mI57L24g
module ABS
#(
parameter DATA_WIDTH =
)
(
input [DATA_WIDTH-:] din,
output reg [DATA_WIDTH-:] dout
); always @(*) begin
if (din[DATA_WIDTH-] == 'b1) begin // negative data
if (din[DATA_WIDTH-:] == {(DATA_WIDTH-){'b0}}) begin // Max
dout = {'b0,{(DATA_WIDTH-1){1'b1}}};
end
else begin
dout = {'b0,((~din[DATA_WIDTH-2:0])+1'b1)};
end
end
else begin
dout = din;
end
end endmodule
module ABS
#(
parameter DATA_WIDTH =
)
(
input [DATA_WIDTH-:] din,
output reg [DATA_WIDTH-:] dout
); localparam W = DATA_WIDTH;
localparam MSB = DATA_WIDTH - ; always @(*) begin
if (din[MSB] == 'b1) begin // negative data
if (din[W-:] == { (W-){'b0} }) begin // Max
dout = {'b0,{(W-1){1'b1}}};
end
else begin
dout = {'b0,((~din[W-2:0])+1'b1)};
end
end
else begin
dout = din;
end
end endmodule
module ABS
#(
parameter DATA_WIDTH =
)
(
input [DATA_WIDTH-:] din,
output reg [DATA_WIDTH-:] dout
); localparam W = DATA_WIDTH;
localparam MSB = DATA_WIDTH - ; wire din_sign = din[MSB];
wire [W-:] din_data = din[W-:];
wire [W-:] pad0 = { (W-){'b0} };
wire [W-:] pad1 = { (W-){'b1} }; always @(*) begin
if (din_sign == 'b1) begin // negative data
if (din_data == pad0) begin // Max
dout = {'b0, pad1};
end
else begin
dout = {'b0,((~din_data)+1'b1)};
end
end
else begin
dout = din;
end
end endmodule
module ABS
#(
parameter DATA_WIDTH =
)
(
input [DATA_WIDTH-:] din,
output reg [DATA_WIDTH-:] dout
); localparam W = DATA_WIDTH;
localparam MSB = DATA_WIDTH - ; wire din_sign = din[MSB];
wire [W-:] din_data = din[W-:];
wire [W-:] pad1 = { (W-){'b1} }; always @(*) begin
if (din_sign == 'b1) begin // negative data
if (din_data == ) begin // Max
dout = {'b0, pad1};
end
else begin
dout = {'b0,((~din_data)+1'b1)};
end
end
else begin
dout = din;
end
end endmodule
module ABS
#(
parameter DATA_WIDTH =
)
(
input [DATA_WIDTH-:] din,
output reg [DATA_WIDTH-:] dout
); localparam W = DATA_WIDTH;
localparam MSB = DATA_WIDTH - ; wire din_sign = din[MSB];
wire [W-:] din_data = din[W-:];
wire [W-:] pad1 = { (W-){'b1} }; always @(*) begin
if (din_sign == 'b1) begin // negative data
if (din_data == ) begin // Max
dout = ~din;
end
else begin
dout = {'b0,((~din_data)+1'b1)};
end
end
else begin
dout = din;
end
end endmodule
module ABS
#(
parameter DATA_WIDTH =
)
(
input [DATA_WIDTH-:] din,
output reg [DATA_WIDTH-:] dout
); localparam W = DATA_WIDTH;
localparam MSB = DATA_WIDTH - ; wire din_sign = din[MSB];
wire [W-:] din_data = din[W-:]; always @(*) begin
if (din_sign == 'b1) begin // negative data
if (din_data == ) begin // Max
dout = ~din;
end
else begin
dout = ~din + ;
end
end
else begin
dout = din;
end
end endmodule
module ABS
#(
parameter DATA_WIDTH =
)
(
input [DATA_WIDTH-:] din,
output reg [DATA_WIDTH-:] dout
); localparam W = DATA_WIDTH; wire din_sign = din[W-];
wire [W-:] din_data = din[W-:]; always @(*) begin
if (din_sign == 'b1) begin // negative data
if (din_data == ) begin // Max
dout = ~din;
end
else begin
dout = ~din + ;
end
end
else begin
dout = din;
end
end endmodule
Verilog - ABS代码重构的更多相关文章
- Android Studio在代码重构中的妙用
代码重构几乎是每个程序员在软件开发中必须要不断去做的事情,以此来不断提高代码的质量.Android Stido(以下简称AS)以其强大的功能,成为当下Android开发工程师最受欢迎的开发工具,也是A ...
- 让代码重构渐行渐远系列(3)——string.Equals取代直接比较与非比较
重构背景及原因 最近由于项目组的人员在不断扩充,导致项目中代码风格各异,大有百花齐放甚至怒放之势.考虑到团队的生存与发展,经过众人多次舌战之后,最终决定项目组根据业务分成几个小分队,以加强团队管理与提 ...
- C++代码重构——从C global到C++ template
在学数据结构的时候,我常有这样目标--写出能够最大程度复用的代码(算法正确,封装优秀).我常想--如何能在短时间内达成"算法正确,封装优秀"这样的目标.经过一段时间的摸索,我的结论 ...
- ASP.NET SignalR 与 LayIM2.0 配合轻松实现Web聊天室(十二) 代码重构使用反射工厂解耦(一)缓存切换
前言 上一篇中,我们用了反射工厂来解除BLL和UI层耦合的问题.当然那是最简单的解决方法,再复杂一点的程序可能思路相同,但是在编程细节中需要考虑的就更多了,比如今天我在重构过程中遇到的问题.也是接下来 ...
- CSS代码重构与优化之路
作者:@狼狼的蓝胖子 网址:http://www.cnblogs.com/lrzw32/p/5100745.html 写CSS的同学们往往会体会到,随着项目规模的增加,项目中的CSS代码也会越来越多, ...
- NET代码重构
记一次.NET代码重构 好久没写代码了,终于好不容易接到了开发任务,一看时间还挺充足的,我就慢慢整吧,若是遇上赶进度,基本上直接是功能优先,完全不考虑设计.你可以认为我完全没有追求,当身后有鞭子使 ...
- 代码重构 & 常用设计模式
代码重构 重构目的 相同的代码最好只出现一次 主次方法 主方法 只包含实现完整逻辑的子方法 思维清楚,便于阅读 次方法 实现具体逻辑功能 测试通过后,后续几乎不用维护 重构的步骤 1 新建一个方法 ...
- ASP.NET SignalR 与 LayIM2.0 配合轻松实现Web聊天室(十一) 代码重构使用反射工厂解耦
前言 自从此博客发表以及代码开源以来,得到了许多人的关注.也没许多吧,反正在我意料之外的.包括几位大牛帮我做订阅号推广,真的很感谢他们.另外,还有几个高手给我提了一些架构上的问题.其实本身这个项目是没 ...
- CSS代码重构
CSS代码重构的目的 我们写CSS代码时,不仅仅只是完成页面设计的效果,还应该让CSS代码易于管理,维护.我们对CSS代码重构主要有两个目的:1.提高代码性能2.提高代码的可维护性 提高代码性能 提高 ...
随机推荐
- idea撤销快捷键
Ctrl+z:撤销. Ctrl+shift+z:取消撤销.
- Cordova 浅析架构的原理
因为项目使用了Cordova,也使用了很长时间.至于有很多hybride框架,为什么我们使用Cordova,这里不做过多的叙述,我们也是根据项目需求来选定的,需要及时更新.还要输出别人SDK等.没有最 ...
- ubuntu18.04下mysql安装时没有出现密码提示
前言: 一:配置 ubuntu 18.04 mysql 5.7.30 二:问题 ubuntu18.04下mysql安装时没有出现密码提示,安装后自己有一个默认的用户名以及密码 解决方案: 1. 在终端 ...
- Python-SHA256加密算法接口测试
前言 小伙伴们在做接口测试的时候,是否遇到一些需要加密的接口,但是不知如何进行测试呢?今天我们来学习一下SHA256加密算法接口如何进行测试的. SHA256加密算法介绍: 比特币挖矿的御用算法 SH ...
- SVN 报错问题
svn: error: The subversion command line tools are no longer provided by Xcode ```. ## 问题分析 由于Mac绝大部分 ...
- 设计模式之GOF23迭代器模式
迭代器模式Iterator /** * 自定义迭代器接口 * @author 小帆敲代码 * */public interface MyIterator { void first();//游标置于第 ...
- C#实现局域网聊天 通讯 Socket TCP 多人
程序分别为服务端与客户端,服务端创建套接字使用多线程侦听多客户端请求 代码需要引用System.Net:和System.Net.Socket:这两个类 分享源码demo:https://pan.bai ...
- MyEclipse安装后的配置
一.Window-->Preferences-->General --> Workspace --> UTF-8 作用:从此以后,你创建的任何项目编码都是UTF-8,一次解决所 ...
- MySQL用户、库、表(单/多)操作
用户及权限操作: 管理员登录:mysql -uroot -p 用户设置密码:set password=password(密码); 查看数据库所有用户:select * from mysql.user; ...
- mysql运维入门6:MySQL读写分离
Amoeba 以MySQL为底层数据存储,并对应用提供MySQL协议接口的proxy 集中想用应用的请求,根据用户事先设置的规则,将SQL请求发送到特定的数据库上执行 基于此可以实现负载均衡.读写分离 ...