https://mp.weixin.qq.com/s/-KUviTzO3Hdir_mI57L24g

从形式和语义两个层面,来扣一下ABS这段代码。
目的在于:在不降低通用性、不增加复杂度的情况下,提升可读性。
 
  1. module ABS
  2. #(
  3. parameter DATA_WIDTH =
  4. )
  5. (
  6. input [DATA_WIDTH-:] din,
  7. output reg [DATA_WIDTH-:] dout
  8. );
  9.  
  10. always @(*) begin
  11. if (din[DATA_WIDTH-] == 'b1) begin // negative data
  12. if (din[DATA_WIDTH-:] == {(DATA_WIDTH-){'b0}}) begin // Max
  13. dout = {'b0,{(DATA_WIDTH-1){1'b1}}};
  14. end
  15. else begin
  16. dout = {'b0,((~din[DATA_WIDTH-2:0])+1'b1)};
  17. end
  18. end
  19. else begin
  20. dout = din;
  21. end
  22. end
  23.  
  24. endmodule
 
 
1. 从形式上,DATA_WIDTH这个命名太长,作为module parameter提供详细语义无可厚非。但在module实现中使用这么长的名字,并且多次出现,则代码稍显冗长。可以使用localparam来缩短长度。

  1. module ABS
  2. #(
  3. parameter DATA_WIDTH =
  4. )
  5. (
  6. input [DATA_WIDTH-:] din,
  7. output reg [DATA_WIDTH-:] dout
  8. );
  9.  
  10. localparam W = DATA_WIDTH;
  11. localparam MSB = DATA_WIDTH - ;
  12.  
  13. always @(*) begin
  14. if (din[MSB] == 'b1) begin // negative data
  15. if (din[W-:] == { (W-){'b0} }) begin // Max
  16. dout = {'b0,{(W-1){1'b1}}};
  17. end
  18. else begin
  19. dout = {'b0,((~din[W-2:0])+1'b1)};
  20. end
  21. end
  22. else begin
  23. dout = din;
  24. end
  25. end
  26.  
  27. endmodule
 
2. 形式上,实现中2次用到了W-1做bit-replicate与din对比,2次用到了W-2对din做part-select,1次使用W-1对din做bit-select(已经替换为MSB)。能否对这些重复出现的代码,增加一个别名?

  1. module ABS
  2. #(
  3. parameter DATA_WIDTH =
  4. )
  5. (
  6. input [DATA_WIDTH-:] din,
  7. output reg [DATA_WIDTH-:] dout
  8. );
  9.  
  10. localparam W = DATA_WIDTH;
  11. localparam MSB = DATA_WIDTH - ;
  12.  
  13. wire din_sign = din[MSB];
  14. wire [W-:] din_data = din[W-:];
  15. wire [W-:] pad0 = { (W-){'b0} };
  16. wire [W-:] pad1 = { (W-){'b1} };
  17.  
  18. always @(*) begin
  19. if (din_sign == 'b1) begin // negative data
  20. if (din_data == pad0) begin // Max
  21. dout = {'b0, pad1};
  22. end
  23. else begin
  24. dout = {'b0,((~din_data)+1'b1)};
  25. end
  26. end
  27. else begin
  28. dout = din;
  29. end
  30. end
  31.  
  32. endmodule
 
3. 从语义上,把din_data与pad0比较,等价于直接与0比较,则可以简化:

  1. module ABS
  2. #(
  3. parameter DATA_WIDTH =
  4. )
  5. (
  6. input [DATA_WIDTH-:] din,
  7. output reg [DATA_WIDTH-:] dout
  8. );
  9.  
  10. localparam W = DATA_WIDTH;
  11. localparam MSB = DATA_WIDTH - ;
  12.  
  13. wire din_sign = din[MSB];
  14. wire [W-:] din_data = din[W-:];
  15. wire [W-:] pad1 = { (W-){'b1} };
  16.  
  17. always @(*) begin
  18. if (din_sign == 'b1) begin // negative data
  19. if (din_data == ) begin // Max
  20. dout = {'b0, pad1};
  21. end
  22. else begin
  23. dout = {'b0,((~din_data)+1'b1)};
  24. end
  25. end
  26. else begin
  27. dout = din;
  28. end
  29. end
  30.  
  31. endmodule
 
 
4. 语义上,如果-128的绝对值取127,则直接对din取反即可。

  1. module ABS
  2. #(
  3. parameter DATA_WIDTH =
  4. )
  5. (
  6. input [DATA_WIDTH-:] din,
  7. output reg [DATA_WIDTH-:] dout
  8. );
  9.  
  10. localparam W = DATA_WIDTH;
  11. localparam MSB = DATA_WIDTH - ;
  12.  
  13. wire din_sign = din[MSB];
  14. wire [W-:] din_data = din[W-:];
  15. wire [W-:] pad1 = { (W-){'b1} };
  16.  
  17. always @(*) begin
  18. if (din_sign == 'b1) begin // negative data
  19. if (din_data == ) begin // Max
  20. dout = ~din;
  21. end
  22. else begin
  23. dout = {'b0,((~din_data)+1'b1)};
  24. end
  25. end
  26. else begin
  27. dout = din;
  28. end
  29. end
  30.  
  31. endmodule
 
 
5. 语义上,对非-128的负数取反,直接把din全部参与运算即可,无需使用concat操作。同时可以省略pad1。

  1. module ABS
  2. #(
  3. parameter DATA_WIDTH =
  4. )
  5. (
  6. input [DATA_WIDTH-:] din,
  7. output reg [DATA_WIDTH-:] dout
  8. );
  9.  
  10. localparam W = DATA_WIDTH;
  11. localparam MSB = DATA_WIDTH - ;
  12.  
  13. wire din_sign = din[MSB];
  14. wire [W-:] din_data = din[W-:];
  15.  
  16. always @(*) begin
  17. if (din_sign == 'b1) begin // negative data
  18. if (din_data == ) begin // Max
  19. dout = ~din;
  20. end
  21. else begin
  22. dout = ~din + ;
  23. end
  24. end
  25. else begin
  26. dout = din;
  27. end
  28. end
  29.  
  30. endmodule
 
 
6. 形式上,module的实现中已经没有对parameter的使用。localparam MSB只被使用了一次。可以取消,直接使用W即可。甚至W也可以去掉,但保留也没有大碍,毕竟多次使用。

  1. module ABS
  2. #(
  3. parameter DATA_WIDTH =
  4. )
  5. (
  6. input [DATA_WIDTH-:] din,
  7. output reg [DATA_WIDTH-:] dout
  8. );
  9.  
  10. localparam W = DATA_WIDTH;
  11.  
  12. wire din_sign = din[W-];
  13. wire [W-:] din_data = din[W-:];
  14.  
  15. always @(*) begin
  16. if (din_sign == 'b1) begin // negative data
  17. if (din_data == ) begin // Max
  18. dout = ~din;
  19. end
  20. else begin
  21. dout = ~din + ;
  22. end
  23. end
  24. else begin
  25. dout = din;
  26. end
  27. end
  28.  
  29. endmodule
 
 
7. ABS模块实现上,这里对-128的处理,只是一种方式。可以看到这种方式增加复杂度的同时,降低了模块的通用性。
 
这个策略需要在具体项目中做选择。这里不做过多讨论。

Verilog - ABS代码重构的更多相关文章

  1. Android Studio在代码重构中的妙用

    代码重构几乎是每个程序员在软件开发中必须要不断去做的事情,以此来不断提高代码的质量.Android Stido(以下简称AS)以其强大的功能,成为当下Android开发工程师最受欢迎的开发工具,也是A ...

  2. 让代码重构渐行渐远系列(3)——string.Equals取代直接比较与非比较

    重构背景及原因 最近由于项目组的人员在不断扩充,导致项目中代码风格各异,大有百花齐放甚至怒放之势.考虑到团队的生存与发展,经过众人多次舌战之后,最终决定项目组根据业务分成几个小分队,以加强团队管理与提 ...

  3. C++代码重构——从C global到C++ template

    在学数据结构的时候,我常有这样目标--写出能够最大程度复用的代码(算法正确,封装优秀).我常想--如何能在短时间内达成"算法正确,封装优秀"这样的目标.经过一段时间的摸索,我的结论 ...

  4. ASP.NET SignalR 与 LayIM2.0 配合轻松实现Web聊天室(十二) 代码重构使用反射工厂解耦(一)缓存切换

    前言 上一篇中,我们用了反射工厂来解除BLL和UI层耦合的问题.当然那是最简单的解决方法,再复杂一点的程序可能思路相同,但是在编程细节中需要考虑的就更多了,比如今天我在重构过程中遇到的问题.也是接下来 ...

  5. CSS代码重构与优化之路

    作者:@狼狼的蓝胖子 网址:http://www.cnblogs.com/lrzw32/p/5100745.html 写CSS的同学们往往会体会到,随着项目规模的增加,项目中的CSS代码也会越来越多, ...

  6. NET代码重构

    记一次.NET代码重构   好久没写代码了,终于好不容易接到了开发任务,一看时间还挺充足的,我就慢慢整吧,若是遇上赶进度,基本上直接是功能优先,完全不考虑设计.你可以认为我完全没有追求,当身后有鞭子使 ...

  7. 代码重构 & 常用设计模式

    代码重构 重构目的 相同的代码最好只出现一次 主次方法 主方法 只包含实现完整逻辑的子方法 思维清楚,便于阅读 次方法 实现具体逻辑功能 测试通过后,后续几乎不用维护 重构的步骤 1  新建一个方法 ...

  8. ASP.NET SignalR 与 LayIM2.0 配合轻松实现Web聊天室(十一) 代码重构使用反射工厂解耦

    前言 自从此博客发表以及代码开源以来,得到了许多人的关注.也没许多吧,反正在我意料之外的.包括几位大牛帮我做订阅号推广,真的很感谢他们.另外,还有几个高手给我提了一些架构上的问题.其实本身这个项目是没 ...

  9. CSS代码重构

    CSS代码重构的目的 我们写CSS代码时,不仅仅只是完成页面设计的效果,还应该让CSS代码易于管理,维护.我们对CSS代码重构主要有两个目的:1.提高代码性能2.提高代码的可维护性 提高代码性能 提高 ...

随机推荐

  1. java web 开发之 office(excel、doc等)文件转pdf

    一.开发工具:office 16.jacob-1.18-M2.jboss 1.6 二.开发配置: 1.解压缩---> 2.配置jacob: A C:\Windows\System32 jacob ...

  2. 【hdu1007】最近点对

    http://acm.hdu.edu.cn/showproblem.php?pid=1007 分治法的经典应用,复杂度可以证明为nlognlogn #include <iostream> ...

  3. bootstrap基本页面

    <!DOCTYPE html> <html lang="zh-CN"> <head> <meta charset="utf-8& ...

  4. bcdedit 替代easybcd 编辑grub

    bcdedit这个命令是win7下,继续安装xubuntu时遇到的.当时xubuntu的语言设置为en-us,时区改为HK,可是仍有很多不太适应的地方.于是,删了xubuntu,重装它.同时,grub ...

  5. 横向滚动div

    <div id="shelf"> <div class="books"><div> <div class=" ...

  6. Django分页之应用案例

    项目文件: models.py(建表) from django.db import models # Create your models here. class Book(models.Model) ...

  7. Web安全工程师(网易微专业Web安全学习笔记)

    本篇笔记的配套视频:网易云课堂,微专业/web安全工程师. 一.WEB基础知识 1.1 Web简介 1.1.1 Web介绍 1)web的发展 web1.0:以内容为中心,网站提供内容信息,用户进行访问 ...

  8. JavaScript事件坐标区别(offset,client,page)

    学习笔记. 1. offset:其定位原点是当前元素左上角 2. client:其定位原点是当前窗口左上角 3. page:其定位原点是当前页面左上角 下面来验证一下. 先上代码: <!doct ...

  9. 设置TextField的响应View和toolBar

    inputView  设置用于展示的响应View 类似于键盘的展示方式 inputAccessoryView 用于设置响应View上面的ToolBar 使用方式: inputView设置为响应View ...

  10. 【Java】几种典型的内存溢出案例,都在这儿了!

    写在前面 作为程序员,多多少少都会遇到一些内存溢出的场景,如果你还没遇到,说明你工作的年限可能比较短,或者你根本就是个假程序员!哈哈,开个玩笑.今天,我们就以Java代码的方式来列举几个典型的内存溢出 ...