Testbench的编写
Testbench的作用,在于给我们编写的可综合代码的模块送入激励。即在我们波形仿真中用编写testbench来代替拖拽波形。其中还包括了我们硬件仿真与matlab仿真的联调建立(将matlab产生的数据读入,或者将模块的输出写入到文本,供matlab调用检测)。
对于testbench的编写的几点总结:
1.利用`timescale设置仿真时间单位和时间精度。格式:
`timescale 时间单位/时间精度
2.用localparam、parameter来定义测试模块中需要定义的常数。
定义常数往往是为了使测试代码可复用,如N点的FFT可将N常数化,这样不同的N则可用相同的代码来测试。
3.读取由matlab产生的数据,
Initial $readmemb(“D:/……”,input);//或者用readmemh,即二进制与十六进制的差别。
4.时钟块
always
begin
clk = 1’b0;
#30 forever
#50 clk=~clk;
end
很多书上都是直接:always #50 clk=~clk;这样虽然简单,可是时钟从0开始就已经跑了,总有些不合适。凡事留些余地的好。另外,为了测试方便,我们都加上时钟计数块。
always
begin
clk_cnt = 0;
#30 forever
#100 clk_cnt = clk_cnt + 1;
end
这样我们可以在仿真波形出来后,清晰的看到第几个时钟各个端口、变量是个什么样的状况。
5.控制信号的赋值
每个控制信号都由单独的一个initial块来管理。如:
initial
begin
clrn = 1;
#30 clrn = 0;
#130 clrn = 1;
end
6.控制仿真总时间,用$stop;
#10000 $stop;
7.将仿真结果输出到txt文本,以供matlab读取进行比对。
integer w_file;
initial w_file = $fopen(“data_out.txt”);//在当前目录新建文本,并打开。
always @ (posedge clk)
begin
#10 if(en_out)//此处延时是因为激励信号经过被测试模块后都会有小段延时,延时时间可自己斟酌。
begin
$fdisplay(w_file,”%h”,data_out);
end
end
例如:这是个DTMB系统中LDPC编码器的其中一个模块的测试文件。
`timescale 1ns/1ps
module tb_circmatrix;
reg clk,reset,data_in,en_in;
reg [126:0]g;
reg load;
wire [126:0]data_out;
wire en_out;
reg [126:0]matlab_in;
//5DCE86622D846BF272215A792AF31A3E
integer w_file;
initial w_file = $fopen("data_out.txt");
always @ (posedge clk)
begin
if(en_out)
$fdisplay(w_file,"%h",data_out);
end
initial
begin
reset = 1'b0;
clk = 1'b0;
load = 1'b0;
en_in = 1'b0;
data_in = 1'b0;
g = 0;
matlab_in = 127'h5DCE86622D846BF272215A792AF31A3E;
end
initial
begin
#50 reset = 1'b1;
#100 reset = 1'b0;
end
initial
begin
#150 load = 1'b1;
g = 127'h44DB4147E6075A92E878EB68C44DD51F;
#100 load = 1'b0;
end
integer in_num;
initial
begin
#250 en_in = 1'b1;
for(in_num = 126;in_num>=0;in_num=in_num-1)
begin
data_in = matlab_in[in_num];
#100;
end
data_in = 1'b0;
en_in = 1'b0;
load = 1'b1;
#100 load = 1'b0;
#1000 $stop;
end
always
begin
#50 forever
#50 clk = ~clk;
end
integer clk_cnt;
always
begin
clk_cnt = 0;
#150;
forever
#100 clk_cnt = clk_cnt + 1;
end
circmatrix u1(
.clk(clk),
.reset(reset),
.data_in(data_in),
.en_in(en_in),
.g(g),
.load(load),
.data_out(data_out),
.en_out(en_out)
);
Testbench的编写的更多相关文章
- VHDL的testbench的编写(转)
大多数硬件设计人员对verilog的testbench比较熟悉,那是因为verilog被设计出来的目的就是为了用于测试使用,也正是因为这样verilog的语法规则才被设计得更像C语言,而verilog ...
- Testbench文件编写纪要(Verilog)
之前在使用Verilog做FPGA项目中.以及其他一些不同的场合下,零散的写过一些练手性质的testbench文件,开始几次写的时候,每次都会因为一些基本的东西没记住.写的很不熟练,后面写的时候稍微熟 ...
- 基于FPGA的音频信号的FIR滤波(Matlab+Modelsim验证)
1 设计内容 本设计是基于FPGA的音频信号FIR低通滤波,根据要求,采用Matlab对WAV音频文件进行读取和添加噪声信号.FFT分析.FIR滤波处理,并分析滤波的效果.通过Matlab的分析验证滤 ...
- 【小梅哥FPGA进阶教程】第九章 基于串口猎人软件的串口示波器
九.基于串口猎人软件的串口示波器 1.实验介绍 本实验,为芯航线开发板的综合实验,该实验利用芯航线开发板上的ADC.独立按键.UART等外设,搭建了一个具备丰富功能的数据采集卡,芯航线开发板负责进行数 ...
- Matlab产生波形数据文件
reference: https://blog.csdn.net/HOOKNET/article/details/79254351 前言 在FPGA开发过程中几乎都要用到仿真的功能,对于一些简单的外部 ...
- verilog实现中值滤波
前言 项目需要,想要实现算法中的其中一步即中值滤波,同时,因为图像处理部分中值滤波相对来说还是比较简单的,将中值滤波的硬件实现作为进入FPGA领域的第一次尝试.虽然说网上有较多关于中值滤波的文档,可是 ...
- RGB Resampler IP核的测试
关于RGB Resampler IP核的测试 1.RGB Resampler功能描述 将输入的RGB数据流转换成其它格式的RGB数据流. 2.功能验证 设置源图像像素数据为:3X4格式. 设置RGB ...
- 基于BASYS2的VHDL程序与仿真——50%占空比8分频器
转帖请注明转自http://www.cnblogs.com/connorzx/p/3547673.html 一.新建工程 1.点击File->New Project, 2.点击Next 注:此处 ...
- FPGA仿真的概念及语法特点
以下是特权同学<FPGA设计+实战演练>书中的描述: 一个正规的设计需要花费在验证上的工作量,往往可能会占到整个开发流程的70%左右.验证通常分为仿真验证和板机验证. ...
随机推荐
- 限制textfield的文字长度
-(BOOL)textField:(UITextField *)textField shouldChangeCharactersInRange:(NSRange)range replacementSt ...
- element-ui 实现table整列的拖动
演示地址 1. 先动态渲染表头,给每一个表头添加一个class=virtual 的画虚线的类名,同时给每个表头加上鼠标点击.拖动.抬起事件:mousedown->mousemove->mo ...
- Repeater控件使用小结持续更新
Repeater嵌套Repeater绑定数据 前台代码 <!--注意层级关系不要写错了--> <asp:Repeater ID="rpGroup" runat=& ...
- MySQL高级查询和编程基础
第一章 数据库设计 一.数据需求分析: 数据需求分析是为后续概念设计和逻辑结构设计做准备. 结构:(1)对现实世界要处理的对象进行详细的调查. (2)收集基础数.据. (3)对所收集的数据进行处理. ...
- Android 让系统自动生成缩略图并写入媒体库
MediaStore.Video.Thumbnails.getThumbnail(ContentResolver cr, long origId, int kind, BitmapFactory.Op ...
- php语法学习:轻松看懂PHP语言
基础语法 开头结尾 PHP脚本以 "<?php " 开头以 "?>" 结尾 <!DOCTYPE html> <html>&l ...
- Python中int,bool,str,格式化,少量is,已经for循环练习
1.整数 十进制转化为二进制 xxx.bit_length(). #求十进制数转化成二进制时所占用的位数 2.布尔值 bool # 布尔值 - - 用于条件使用 True 真 Fa ...
- JDBC程序实例
实例 ( Statement ): public class JDBC { public static void main(String[] args) throws Exception { Conn ...
- Error running Tomcat 6: Address localhost:8080 is already in use
错误原因:8080端口被其他的应用占用!解决方案:第一步,命令提示符号,执行命令:netstat –anoActive ConnectionsProto Local Address ...
- vuex存储和本地存储的区别
1.实质的区别 vuex存的是状态,存储在内存,localstorage是浏览器提供的接口,让你存的是文件,以文件的形式存储在本地 2.应用场景 vuex用于组件之间的传值,localstorage则 ...