STA之Concepts (2)
3 Skew between signals
Skew is the difference in timing between two or more signals, maybe data, clock or both.
Clock latency is the total time it takes from the clock source to an end point.
Clock skew is the difference in arrival times at the end points of the clock tree.
Latency of a clock tree can be explicitly specified using the set_clock_latency command.
set_clock_latency 2.2 [get_clocks BZCLK]
# Both rise and fall latency is 2.2ns.
# Use options -rise and -fall if different.
Clock skew for a clock tree can also be implied by explicitly specifying its value using the set_clock_uncertainty command.
set_clock_uncertainty 0.250 -setup [get_clocks BZCLK]
set_clock_uncertainty 0.100 -hold [get_clocks BZCLK]
The set_clock_uncertainty specifies a window within which a clock edge can occur. Every real clock source has a finite amount of jitter - a window within which a clock edge can occur.
Figure 2-16 shows an example of a clock with a setup uncertainty of 250ps. Figure 2-16(b) shows how the uncertainty takes away from the time available for the logic to propagate to the next flip-flop stage.
4 Timing Arcs and Unateness
Every cell has multiple timing arcs. (uate -- 单边)
- Combinational logic cell, such as and, or, nand, nor, adder cell, has timing arcs from each input to each output of the cell.
- Sequential cell (flip-flop) has timing arc from the clock to the output and timing constraints for the data pins with respect to the clock.
5 Min and Max timing paths
A max path between two end points is the path with the largest delay (also referred to as the longest path). Similarly, a min path is the path with the smallest delay (also referred to as the shortest path).
When a flip-flop to flip-flop path, one of the flip-flops launches the data and the other flip-flop captures the data.
In this case, UFF1 is referred to as the launch flip-flop, and UFF3 is referred to as the capture flip-flop.
The launch and capture terminology are always with reference to a flip-flop to flip-flop path. For example, UFF3 would become a launch flip-flop for the path to whatever flip-flop captures the data produced by UFF3.
6 Clock domains
A clock typically feeds a number of flip-flops. The set of flip-flops being fed by one clock is called its clock domain.
For example, 200 flip-flops may be clocked by USBCLK and 1000 flip-flops may be fed by clock MEMCLK. Figure 2-20 depicts the flip-flops along with the clocks. In this example, we say that there are two clock domains.
If indeed there are data paths that cross between clock domains (see Figure2-21), a decision has to be made as to whether the paths are real or not.
An example of a real path is a flip-flop with a 2x speed clock driving into a flip-flop with a 1x speed clock.
An example of a false path is where the designer has explicitly placed clock synchronizer logic between the two clock domains. Such a path is referred to as a false path, because the clock synchronizer ensures that the data passes correctly from one domain to the next.
set_false_path -from [get_clocks USBCLK] -to [get_clocks MEMCLK]
STA之Concepts (2)的更多相关文章
- STA之Concepts (1)
Static Timing Analysis is one of the many techniques available to verify the timing of a digital des ...
- 选择目录,选择文件夹的COM组件问题。在可以调用 OLE 之前,必须将当前线程设置为单线程单元(STA)模式。请确保您的 Main 函数带有 STAThreadAttribute 标记。 只有将调试器附加到该进程才会引发此异常。
异常: 在可以调用 OLE 之前,必须将当前线程设置为单线程单元(STA)模式.请确保您的 Main 函数带有 STAThreadAttribute 标记. 只有将调试器附加到该进程才会引发此异常. ...
- 【转】使用SQL Tuning Advisor STA优化SQL
SQL优化器(SQL Tuning Advisor STA)是Oracle10g中推出的帮助DBA优化工具,它的特点是简单.智能,DBA值需要调用函数就可以给出一个性能很差的语句的优化结果.下面介绍一 ...
- 如何用 SQL Tuning Advisor (STA) 优化SQL语句
在Oracle10g之前,优化SQL是个比较费力的技术活,不停的分析执行计划,加hint,分析统计信息等等.在10g中,Oracle推出了自己的SQL优化辅助工具: SQL优化器(SQL Tuning ...
- [BZOJ1131][POI2008] Sta 树的深度
Description 给出一个N个点的树,找出一个点来,以这个点为根的树时,所有点的深度之和最大 Input 给出一个数字N,代表有N个点.N<=1000000 下面N-1条边. Output ...
- 新书到手 TRANSACTION PROCESSING:CONCEPTS AND TECHNIQUES
新书到手 TRANSACTION PROCESSING:CONCEPTS AND TECHNIQUES Jim Gray大神的著作 本文版权归作者所有,未经作者同意不得转载.
- RS-232, RS-422, RS-485 Serial Communication General Concepts(转载)
前面转载的几篇文章重点介绍了UART及RS-232.在工控领域除了RS-232以外,常用的串行通信还有RS-485.本文转载的文章重点介绍了RS-232.RS-422和RS-485. Overview ...
- 在可以调用 OLE 之前,必须将当前线程设置为单线程单元(STA)模式
在可以调用 OLE 之前,必须将当前线程设置为单线程单元(STA)模式 转载自:http://blog.163.com/smhily_min/blog/static/75206226201092011 ...
- 关于 RTL8723BS 同时开启 STA/AP 模式
最近接到一个调试 wifi 驱动的任务,使用的是 rtl8723bs 芯片组.要求是让无线设备工作在 station 模式的时候同时开启一个 ap 热点.简单来讲就是连接其他 wifi 的同时发出一个 ...
随机推荐
- CentOS 查看日志命令
cat tail -f 日 志 文 件 说 明 /var/log/message 系统启动后的信息和错误日志,是Red Hat Linux中最常用的日志之一 /var/log/secure 与安 ...
- redux 简明学习
核心概念 redux专注于状态管理,把所有的状态都存在一个对象中.核心概念包括:store.state.action.reducer [store] store是保存数据的地方,redux提供crea ...
- Android--Activity在跳转时携带数据
首先看看两种传递方法演示样例:(一个简单姻缘计算器) 主Activity import android.os.Bundle; import android.app.Activity; import a ...
- rsync 3.1.1源代码编译安装配置
http://rsync.samba.org/ rsync-3.1.1.tar.gz ---0.卸载rpm # yum remove rsync -----1.安装: tar -zxvf rsync- ...
- Azure Pack演示样例缩放部署架构
- #pragma pack (n) 惹的祸
今天遇到了一个问题,使用数据流传输的数据在解析的时候数据错位.想了非常久,发现是#pragma pack (n)惹的祸. 首先.解析方使用了编译字节设置,可是在发送方没有使用,于是用相同的结构体解析数 ...
- Android系统优化
这些事实上就是优化rom 的一些实用小技巧. 认为非常多还是实用的. Build.prop (编辑 /system/build.prop 文件(须要root, 能够用文件管理器或者其它root exp ...
- [项目机会]使用lync的统一通信平台
[项目内容]基于微软的lync或者其他思科.腾讯等公司技术,建立一个员工统一的通讯平台,可以随时的保持员工之间沟通. [项目价值] 1.保持项目团队成员之间的随时随地高效的电话或者视频会议: 2.集成 ...
- 【bzoj2282】[Sdoi2011]消防
两次bfs可得直径,答案一定不会小于所有点到直径的距离最大值,只要把直径上的边权设为0,任选直径上一点bfs可得将最大值作为二分下界,二分直径左右端点的舍弃部分 #include<algorit ...
- ssh服务常见问题及其解决办法
1 统一解决办法 执行sshd -t,这样就可以指出是哪里出问题了. 所有的服务都应该有这个测试选项,否则出错了都不知道在哪里出的问题. 2 root用户登录,密码是对的,但是报“Permission ...