# -----------------------------------------------------------------------------
# Vivado Non-Project Flow
# -----------------------------------------------------------------------------
set DESIGN [lindex $argv ] # -----------------------------------------------------------------------------
config_webtalk -user off # -----------------------------------------------------------------------------
create_project -in_memory # -----------------------------------------------------------------------------
proc read_filelist {filelist} {
global hdl_list
global incdir_list
set fp [open $filelist r]
while {[gets $fp fname] != -} {
if {[regexp {^//} $fname]} {
continue
} elseif {[regexp {^\S+\.v} $fname]} {
lappend hdl_list $fname
} elseif {[regexp {^-v} $fname]} {
regsub {^-v} $fname "" fname_sub
lappend hdl_list $fname_sub
} elseif {[regexp {^\+incdir\+} $fname]} {
regsub {^\+incdir\+} $fname "" fname_sub
lappend incdir_list $fname_sub
}
}
close $fp
} # -----------------------------------------------------------------------------
set hdl_list ""
set incdir_list ""
read_filelist "../../rtl/filelist.f" set_property verilog_define "SYNTHESIS" [current_fileset]
set_property include_dirs $incdir_list [current_fileset]
set_property top ${DESIGN} [current_fileset] read_verilog $hdl_list
#read_ip "../scr/ila_debug.xci"
read_xdc "../scr/${DESIGN}.xdc" # -----------------------------------------------------------------------------
synth_design -top ${DESIGN} -part xc7z020clg400-
write_checkpoint -force ../rpt/${DESIGN}_synth.dcp
report_utilization -file ../rpt/${DESIGN}_synth_utilization.rpt
report_timing_summary -file ../rpt/${DESIGN}_synth_timing_summary.rpt # -----------------------------------------------------------------------------
if {[llength [get_debug_cores -quiet]] > } {
implement_debug_core
} write_debug_probes ../rpt/${DESIGN}.ltx # -----------------------------------------------------------------------------
opt_design -directive Explore
place_design -directive Explore
phys_opt_design -directive Explore
write_checkpoint -force ../rpt/${DESIGN}_placed.dcp
report_utilization -file ../rpt/${DESIGN}_placed_utilization.rpt
report_timing_summary -file ../rpt/${DESIGN}_placed_timing_summary.rpt # -----------------------------------------------------------------------------
route_design -directive Explore -tns_cleanup
phys_opt_design -directive Explore
write_checkpoint -force ../rpt/${DESIGN}_routed.dcp
report_route_status -file ../rpt/${DESIGN}_routed_status.rpt
report_timing_summary -file ../rpt/${DESIGN}_routed_timing_summary.rpt # -----------------------------------------------------------------------------
write_bitstream -force ../rpt/${DESIGN}.bit
write_cfgmem -format bin -interface SPIx1 -size -loadbit "up 0 ../rpt/${DESIGN}.bit" ../rpt/${DESIGN}.bin

Vivado Non-Project Flow的更多相关文章

  1. Xilinx Vivado器件分配管脚:LVDS差分电平信号如何分配管脚?

    最近在把Quartus Prime 15.1的工程移植到Vivado 2019.1,需要改变的地方还是很多的,先记一下差分信号在FPGA中的收发管脚定义和配置.以LVDS信号为例吧. 在7 Serie ...

  2. (转) 使用vivado创建工程 3

    Create a Hello World application In this experiment we will use Xilinx SDK to create a simple Hello ...

  3. [转]UIPath进阶教程-6. Architecture & Publishing flow

    本文转自:https://blog.csdn.net/liaohenchen/article/details/88847597 版权声明:本文为博主原创文章,遵循 CC 4.0 by-sa 版权协议, ...

  4. vivado2015.4 simulator 存储所有信号到 .wdb 文件 并打开波形文件查看波形

    OS WIN7vivado 2015.4vivado自带的仿真器 vivado project 包含一个block design, block design 中包含AXIPCIE, MIG, INTE ...

  5. springboot集成调用Azkaban

    springboot集成调用Azkaban 一. 说明 1.Azkaban是由Linkedin公司推出的一个批量工作流任务调度器,主要用于在一个工作流内以一个特定的顺序运行一组工作和流程,它的配置是通 ...

  6. flowable流程启动时监听器

    一.核心配置类 package com.magus.project.flow.config; import com.google.common.collect.Maps; import com.mag ...

  7. Vivado的helloword计划(一个):硬件project部分

    硬件平台:ZedBoard 软件平台:vivado2013.3 本演示样例通过综合.实现,生成比特流,发送到SDK实现. 启动vivado而且创建一个项目 依据提示操作一步步创建新项目的时候记得选择R ...

  8. [转载]Vivado轻松实现IP封装

    Vivado轻松实现IP封装 1.新建一个测试工程 工程化的设计方法是离不开工程的,第一步往往都是新建工程,后面我会学习去工程化的开发方法,可能会更加高效. 2.利用向导完成IP封装 2.1.启动IP ...

  9. Vivado学习笔记_002

    经过几天的试用逐渐熟悉了vivado,和ISE相比vivado确实有了很多改良. 发现了以下几个特点:   1.数据格式统一了 在以往的设计中,保存数据的格式非常多.ISE有很多种格式的文件,在tra ...

随机推荐

  1. 剑指offer:数组中的逆序对

    题目描述: 在数组中的两个数字,如果前面一个数字大于后面的数字,则这两个数字组成一个逆序对.输入一个数组,求出这个数组中的逆序对的总数P.并将P对1000000007取模的结果输出. 即输出P%100 ...

  2. Python中的args和kwargs

    有时,你会看到python中定义函数的时候带有两个奇怪的参数:*args.**kwargs.如果你曾经想知道它们是干什么的,或者想知道你的IDE为什么在main()函数中定义它们,那么本文可以帮助到你 ...

  3. 003-maven开发Java脚手架archrtype-技术点说明

    一.概述 二.技术点说明 2.1.项目结构 凡auto包或文件件,均会被代码生成器再次生成二修改 1.model层 po:BasePO基础类,统一了数据库的基础字段[数据库必须添加如下,与mybati ...

  4. LayaIDE 报typescript编译版本不一致的错

    LayaIDE 报typescript编译版本不一致的错 文件 -> 首选项->用户设置那里修改下 settings.json // 将设置放入此文件中以覆盖默认设置 { "ty ...

  5. Tensorflow问题

    TypeError: 'urban' has type str, but expected one of: bytes 在前面添加"b"(例如,b'urban'),或者处理为var ...

  6. [转]彻底解决deepin linux的无线网络问题

    链接地址:https://bbs.deepin.org/forum.php?mod=viewthread&tid=153154

  7. Jenkins配置发送邮件步骤

    Jenkins配置邮件步骤,以下我是以腾讯企业邮箱账号作为演示 1.配置Jenkins系统管理员邮件地址: 下拉找到以下位置 2.配置邮件通知 继续下拉,找到邮件配置.输入SMTp服务器以及邮箱后缀, ...

  8. docker tag根据镜像id做标签,用于应用的回滚

    示例 通过ID tag镜像 下面是tag一个id为0e5574283393的本地镜像到“fedora”存储库,tag名称version1.0: docker tag 0e5574283393 fedo ...

  9. 【电商日志项目之五】数据分析-MR方式

    环境 hadoop-2.6.5 hbase-0.98.12.1-hadoop2 新增用户指标分析(1)用户分析模块 (2)浏览器分析模块 根据分析效果图,找出分析的维度:用户分析是指某个时间段内的数量 ...

  10. [资料]ObjectARX 2020参考指南翻译中文版

    chm使用Google Chrome浏览器翻译,有些翻译不是很理想,因为2万5千多个html文件, 修正难度太大,所以只处理了一部分. 非常感谢 gzxl 辛苦肉眼修正一些翻译问题. 欢迎进入QQ群: ...