1.package 定义及从package中导入定义(***)

verilog中,对于变量、线网、task、function的声明必须在module和endmodule之间。如果task被多个module引用呢?

verilog用include解决,systemverilog借用VHDL的package解决。

@1: package可以包含的可综合的结构有:

1.parameter和localparam常量定义

2.const变量定义

3.typedef 用户自定义类型

4.全自动task和function定义

5.import语句

6.操作符重载定义

eg: package definition;

parameter VERSION = "1.1";

typedef enum{ADD,SUB,MUL} opcodes_t;

typedef struct{

logic [31:0]a,b;

opcodes_t opcode;

}instruction_t;

function automatic [31:0] multipler(input[31:0]a,b);

return a*b;

endfunction

endpackage

@2:package 内容引用方式:

1.使用范围操作符::

module ALU(input definitions::instruction_t IW, input logic clock, output logic [31:0] result);

always_ff @(posedge clock) begin

case (IW.opcode)

definitions::ADD: result = IW.a + IW.b;

definitions::SUB: result = IW.a – IW.b;

definitions::MUL: result = definitions::multiplier(IW.a, IW.b);

endcase

end

endmodule

2.import语句导入特定子项到模块或接口中

module ALU(input definitions::instruction_t IW, input logic clock, output logic [31:0] result);

import definitions::ADD;

import definitions::SUB;

import definitions::MUL;

import definitions::multiplier;

always_ff @(posedge clock) begin

case (IW.opcode)

ADD: result = IW.a + IW.b;

SUB: result = IW.a – IW.b;

MUL: result = multiplier(IW.a, IW.b);

endcase

end // import definitions::opcodes_t; ?

endmodule

3.import语句通配符导入到模块或接口中

module ALU(input definitions::instruction_t IW, input logic clock, output logic [31:0] result);

import definitions::*;

always_comb begin case (IW.opcode)

ADD: result = IW.a + IW.b;

SUB: result = IW.a – IW.b;

MUL: result = multiplier(IW.a, IW.b);

endcase

end

endmodule

 通配符导入并不自动导入整个package,只是相当于添加了一条搜索路径!!

4.将子项导入$unit中

import definitions :: instruction_t;

module ALU (input instruction_t iw, input logic clock; output logic [31 : 0] result);

package也可以通过通配符导入到$unit域中。通配符导入只是将package加到SystemVerilog源路径中!!

2.$unit编译声明空间

不要在$unit空间进行任何声明,所有共享的声明都要在package中。

需要时,可以将package导入到$unit中。

声明过于分散,结构乱,逻辑差,不利于调试。

3.未命名块中的声明

块命名后可以层次化引用其中变量,但不可以综合。

4.增强的时间单位定义

1. 包含时间单位的时间值:

forever #5ns clock = ~clock; //时间值与单位之间不能有空格!!

2. 使用关键字timeunit和timeprecision:

module adder(input wire[63 : 0] a, b, output reg [63 :0] sum, output reg carry);

timeunit 1ns;

timeprecision 10ps;//是模块的一部分,

……                       //而不是作为软件工具的指令

endmodule

timeunit和timeprecision使模块、接口或程序块与时间单位和精度信息直接绑定,

解决了timescale存在的不确定性和对文件顺序的依赖性。

它必须在其它声明或语句之前,紧随模块、接口或程序的声明之后。

timeunit和timeprecision的声明可以在编译单元域中,但必须在其它声明的前面。

第二章:systemverilog声明的位置的更多相关文章

  1. 【C语言探索之旅】 第一部分第四课第二章:变量的世界之变量声明

    内容简介 1.课程大纲 2.第一部分第四课第二章:变量的世界之变量声明 3.第一部分第四课第三章预告:变量的世界之显示变量内容 课程大纲 我们的课程分为四大部分,每一个部分结束后都会有练习题,并会公布 ...

  2. Laxcus大数据管理系统2.0(5)- 第二章 数据组织

    第二章 数据组织 在数据的组织结构设计上,Laxcus严格遵循数据和数据描述分离的原则,这个理念与关系数据库完全一致.在此基础上,为了保证大规模数据存取和计算的需要,我们设计了大量新的数据处理技术.同 ...

  3. C#本质论读书笔记:第一章 C#概述|第二章 数据类型

    第一章 1.字符串是不可变的:所有string类型的数据,都不可变,也可以说是不可修改的,不能修改变量最初引用的数据,只能对其重新赋值,让其指向内存中的一个新位置. 第二章 2.1 预定义类型或基本类 ...

  4. 《驾驭Core Data》 第二章 Core Data入门

    本文由海水的味道编译整理,请勿转载,请勿用于商业用途.    当前版本号:0.4.0 第二章 Core Data入门 本章将讲解Core Data框架中涉及的基本概念,以及一个简单的Core Data ...

  5. java面向对象编程——第二章 java基础语法

    第二章 java基础语法 1. java关键字 abstract boolean break byte case catch char class const continue default do ...

  6. C++ primer的第二章的主要内容

    这第二章主要是介绍了C++中基本的内置数据类型:整型与浮点型.介绍了什么是变量的过程中了解到了左值与右值的概念.左值是可以出现在赋值语句的左边或者右边,也就是说可以放在等号的左右两边,而右值只能是出现 ...

  7. 第二章 C语言编程实践

    上章回顾 宏定义特点和注意细节 条件编译特点和主要用处 文件包含的路径查询规则 C语言扩展宏定义的用法 第二章 第二章 C语言编程实践 C语言编程实践 预习检查 异或的运算符是什么 宏定义最主要的特点 ...

  8. 第二章 开始学习C++

    第二章  开始学习C++ 2.1  main函数 简单代码如下: #include <iostream> int main() { //This is my first program u ...

  9. 学习opencv中文版教程——第二章

    学习opencv中文版教程——第二章 所有案例,跑起来~~~然而并没有都跑起来...我只把我能跑的都尽量跑了,毕竟看书还是很生硬,能运行能出结果,才比较好. 越着急,心越慌,越是着急,越要慢,越是陌生 ...

随机推荐

  1. IT兄弟连 Java Web教程 经典面试题2

    1.Servlet的工作流程? Servlet是运行在Servlet容器中的,由Servlet容器来负责Servlet实例的查找.创建以及整个生命周期的管理,Servlet整个生命周期可以分为四个阶段 ...

  2. maven在window下的环境变量配置

    配置maven环境变量cmd控制台提示:mvn不是内部或外部命令,也不是可运行的程序或批处理文件 首先maven环境变量: 变量名:MAVEN_HOME 变量值:E:\apache-maven-3.2 ...

  3. Luogu P1069细胞分裂【分解质因数/数论】By cellur925

    题目传送门 发现这题真的坑超多啊...调了一晚上终于过了...我好菜啊qwq. 题意说的比较明白,让你求满足(si^k)%(m1^m2)==0的最小k值.然后看数据范围我们知道,我们肯定不能暴力的判断 ...

  4. Ubuntu18 安装jdk8

    按照网上能找到的方法,添加仓库已经不行了,具体原因如下: I look up to the webupd8 site and it seems that the ppa was discontinue ...

  5. ngxin做http强制跳转https,接口的POST请求变成GET

    http强制跳转https出现了问题.修改nginx配置如下即可解决: server { listen 80; server_name *.snsprj.cn; return 307 https:// ...

  6. PWA之push服务

    转载: https://www.jishux.com/p/c5735af96c39bd4a https://www.jianshu.com/p/9970a9340a2d 系列文章参考:https:// ...

  7. MySQL读写分离实现

    数据库写入效率要低于读取效率,一般系统中数据读取频率高于写入频率,单个数据库实例在写入的时候会影响读取性能,这是做读写分离的原因.实现方式主要基于mysql的主从复制,通过路由的方式使应用对数据库的写 ...

  8. 【学习笔记】深入理解js原型和闭包(8)——简述【执行上下文】上

    什么是“执行上下文”(也叫做“执行上下文环境”)?暂且不下定义,先看一段代码: 第一句报错,a未定义,很正常.第二句.第三句输出都是undefined,说明浏览器在执行console.log(a)时, ...

  9. mac下iterm2配置安装,通过expact实现保存账号,及通过跳板登陆配置

    在参考了几款mac不错的ssh工具外,最终选择使用iterm2.本来打算用FinalShell,安装后发现其icon在访达中根本不现实,而且每次访问还需要输入管理员账号密码,强迫症根本受不了... 官 ...

  10. Pow挖矿流程

    Pow挖矿流程 POW即工作量的证明,主要特征是客户端需要做一定难度的工作得出一个结果,验证方却很容易通过结果来检查出客户端是不是做了相应的工作. Pow挖矿即不断接入新的Block延续Block C ...