CORDIC算法实现极坐标(polar)到直角坐标系(Cartesian)的变换。

   1:  function [horizonal,vertical]=polar2car(mag, pha);
   2:  x =mag;
   3:  y =0;
   4:  z=pha;
   5:  d=0;
   6:  i=0;
   7:  k = 0.6073; %K 增益
   8:  x = k*x;
   9:  while i<50
  10:      if z<0 d =-1;
  11:      else d = 1;
  12:      end
  13:      xNew=x-y*d*(2^(-i));
  14:      y=y+x*d*(2^(-i));
  15:      z=z-d*atan(1/2^(i));
  16:      i=i+1;
  17:       
  18:       
  19:      x=xNew;
  20:  end
  21:  horizonal = x;
  22:  vertical = y;

CORDIC算法实现直角坐标到极坐标系的变换。
   1:  function [mag, pha]= car2polar(x,y);
   2:    
   3:  %y =0;
   4:                       %将直角坐标系中的点(x,y)旋转到x轴,旋转的角度即为其极坐标的相位,在x轴的长度等于极坐标的幅度  
   5:  d=0;                 %可用于求相位,幅度
   6:  i=0;
   7:  z=0;
   8:  k = 0.6073; %K 增益
   9:   
  10:  while i<50
  11:      if y<0 d = 1;
  12:      else d = -1;
  13:      end
  14:      xNew=x-y*d*(2^(-i));
  15:      y=y+x*d*(2^(-i));
  16:      z=z-d*atan(1/2^(i));
  17:      i=i+1;
  18:       
  19:       
  20:      x=xNew;
  21:  end
  22:   x =  x*k;
  23:   mag=x;
  24:   pha=z;


验证:

[a,b]= polar2car( 1,pi/3)

a =

0.5000

b =

0.8661

[a,b]=  car2polar( 0.5000, 0.8661)

a =

1.0001

b =

1.0472

计算正切值atan只需将直角坐标变换为极坐标的程序中取出最后的角度值,即可得到反正切值。
   1:  function [ pha]= cordic_arcsin(c);
   2:    
   3:  %y =0;
   4:                         %将点(1,0)旋转至其纵坐标=c,旋转的角度为角度 求反余弦也是同样道理  
   5:  d=0;
   6:  i=0;
   7:  z=0;
   8:  x=1;
   9:  y=0;
  10:  k = 0.6073; %K 增益
  11:   xNew =  x* k;
  12:  while i<100
  13:      if y<=c d = 1;
  14:      else d =  -1;
  15:      end
  16:      x =xNew-y*d*(2^(-i));
  17:      y=y+xNew*d*(2^(-i));
  18:      z=z+d*atan(1/2^(i));
  19:      i=i+1;
  20:       
  21:       
  22:       xNew=x;
  23:  end
  24:   
  25:   %mag=x;
  26:   pha=z;


   1:  function [pha]= cordic_arccos(c);
   2:    
   3:  %y =0;  
   4:  d=0;
   5:  i=0;
   6:  z=0;
   7:  x=1;
   8:  y=0;
   9:  k = 0.6073; %K 增益
  10:   xNew =  x* k;
  11:  while i<100
  12:      if x>=c d = 1;
  13:      else d =  -1;
  14:      end
  15:      x =xNew-y*d*(2^(-i));
  16:      y=y+xNew*d*(2^(-i));
  17:      z=z+d*atan(1/2^(i));
  18:      i=i+1;
  19:       
  20:       
  21:       xNew=x;
  22:  end
  23:   
  24:   %mag=x;
  25:   pha=z;

   1:  function [  pha]= cordic_arctan(x,y);
   2:    
   3:  %y =0;
   4:                      %将点(x,y)旋转到x轴所需要的角度  
   5:  d=0;
   6:  i=0;
   7:  z=0;
   8:  k = 0.6073; %K 增益
   9:   x =  x*k;
  10:  while i<50
  11:      if y<0 d = 1;
  12:      else d = -1;
  13:      end
  14:      xNew=x-y*d*(2^(-i));
  15:      y=y+x*d*(2^(-i));
  16:      z=z-d*atan(1/2^(i));
  17:      i=i+1;
  18:       
  19:       
  20:      x=xNew;
  21:  end
  22:   
  23:   %mag=x;
  24:   pha=z;

   1:  function [sine,cosine] = cordic_sine(angle);
   2:  % Initialitation
   3:     %%angle=30 ;
   4:      x = 1;
   5:      y = 0;
   6:      z = angle;
   7:      d = 1;
   8:      
   9:      i = 0;          % Iterative factor
  10:     k = 0.6073;     %K Factor
  11:      xNew = k*x;
  12:   while i < 50
  13:       if z <=0 d =-1;
  14:       else d = 1;
  15:       end
  16:       x= xNew -d*y*2^(-i);
  17:       y=y+d*xNew*2^(-i);
  18:       z=z-d*atan(2^(-i));
  19:       i=i+1;
  20:       xNew=x;
  21:   end
  22:  cosine = x
  23:  sine = y




CORDIC原理与FPGA实现(2)的更多相关文章

  1. CORDIC原理与FPGA实现(1)

    CORDIC算法的来历与用途大家网上随处可以见到,这里写 一下自己的理解. 将P(x,y)旋转角度a得到新的坐标P’(x’,y’).这里的坐标变换为: x’= x cos(a) – y sin(a)  ...

  2. 【接口时序】8、DDR3驱动原理与FPGA实现(一、DDR的基本原理)

    一. 软件平台与硬件平台 软件平台: 1.操作系统:Windows-8.1 2.开发套件:无 3.仿真工具:无 硬件平台: 1. FPGA型号:无 2. DDR3型号:无 二. 存储器的分类 存储器一 ...

  3. cordic算法的fpga实现

    cordic算法参考:http://wenku.baidu.com/view/6c623aa8910ef12d2bf9e732.html 这是百度文库的一个文档,详细介绍了cordic算法的基本内容. ...

  4. 学习cordic算法所得(流水线结构、Verilog标准)

    最近学习cordic算法,并利用FPGA实现,在整个学习过程中,对cordic算法原理.FPGA中流水线设计.Verilog标准有了更加深刻的理解. 首先,cordic算法的基本思想是通过一系列固定的 ...

  5. CORDIC算法(1):圆周旋转模式下计算三角函数和模值

    CORDIC(Coordinate Rotation Digital Computer)坐标旋转数字计算机,是数学与计算机技术交叉产生的一种机器算法,用于解决计算机的数学计算问题.发展到现在,CORD ...

  6. FPGA入门1

    FPGA入门知识介绍    近几年来,由于现场可编程门阵列(FPGA)的使用非常灵活,又可以无限次的编程,已受到越来越多的电子编程者的喜爱,很多朋友都想学习一些FPGA入门知识准备进行这个行业,现在关 ...

  7. 【接口时序】5、QSPI Flash的原理与QSPI时序的Verilog实现

    一. 软件平台与硬件平台 软件平台: 1.操作系统:Windows-8.1 2.开发套件:ISE14.7 3.仿真工具:ModelSim-10.4-SE 4.Matlab版本:Matlab2014b/ ...

  8. 学习FPGA需要做哪些

    有些人比较差,做了一些介绍,有误导成分.有些人水平太高,介绍的很好,但是很多人依旧听不懂,得到的肯定很少.学习FPGA,在不同层次的人明显有不同的答案. 熟悉硬件描述语言语法,不需要什么都会,但是要记 ...

  9. Xilinx 常用模块汇总(verilog)【01】

    作者:桂. 时间:2018-05-07  19:11:23 链接:http://www.cnblogs.com/xingshansi/p/9004492.html 前言 该文私用,不定期更新,主要汇总 ...

随机推荐

  1. C#为工作Sql而产生的字符串分割小工具(很实用,你值得拥有)

    写在前面 为什么要写这个工具? 工作需要,拼接字符串头晕眼花拼接的,特别是in 查询,后面的参数太多,想在数据执行一些这个sql语句老费劲了. 看正文 工作所有的(后台)攻城狮们都会接触到sql语句, ...

  2. 将C1Chart数据导出到Excel

    大多数情况下,当我们说将图表导出到Excel时,意思是将Chart当成图片导出到Excel中.如果是这样,你可以参考帮助文档中保存和导出C1Chart章节. 不过,也有另一种情况,当你想把图表中的数据 ...

  3. JavaScript来实现打开链接页面(转载)

    在页面中的链接除了常规的方式以外,如果使用javascript,还有很多种方式,下面是一些使用javascript,打开链接的几种方式: 1.使用window的open方法打开链接,这里可是在制定页面 ...

  4. [翻译]:SQL死锁-阻塞

    一般情况下死锁不是一步到位的,它必须满足特定的条件,然后形成资源的循环依赖才会产生死锁,死锁之前一定会出现阻塞,由阻塞升级才有可能出现死锁,所以我们有必要了解系统中都有哪些已经被阻塞的锁. 我在解决共 ...

  5. centos/rhel 6.5下rabbitmq安装(最简单方便的方式)

    wget -c http://apt.sw.be/redhat/el5/en/x86_64/rpmforge/RPMS/rpmforge-release-0.3.6-1.el5.rf.x86_64.r ...

  6. Tomcat/JSP中文编码配置

    来源:http://blog.csdn.net/zhangzikui/article/details/6169978         http://www.iteye.com/topic/300656 ...

  7. JavaScript 学习—— js获取行间样式和非行间样式

    1. 问题引入 <head> <style> #div1{ width:150px; height:200px; position:absolute; left:-150px; ...

  8. 如何利用ArcGIS Engine接口实现打开Raster Catalog中的某一幅指定的影像?

    将IRasterCatalog转化为ITable,然后通过ITable.GetRow返回指定索引的IRow,将IRow转为IRasterCatalogItem,进而获取IRasterCatalogIt ...

  9. 使用RDCMan管理SharePoint虚拟机的重复要求验证的问题

    首先,这个软件可以从这里下载: Remote Desktop Connection Manager 同类型的软件还有很多,我没有很多复杂功能的要求,就选择了这款微软官方的,虽然很久都没有更新过了. 为 ...

  10. iOS 新窗口在最上层

    有的时候需要弹出一个UIView 在整个视图的最上方,使用 [self.view addsubview : view] 一般就可以了,但是这样不严谨,因为这是一个tableview,那么这个view ...