一个类,只定义了而没有实例化,是没有任何意义的,但也有特殊情况,对于一个静态类,即其成员变量都是静态的,不实例化也可以正常使用;

类要想和DUT通信,不能在类里定义接口,会报错,只能在类里定义虚拟接口;若直接基于sv的测试平台中是在new函数中调用接口,在UVM中则通过uvm_config_db::get来得到从top module传递而来的interface;

UVM中,各个component(driver,monitor等功能部件)通信使用TLM事务级模型方式,其中要发送信息的一方,使用uvm_analysis_port#(my_transaction),是UVM库中的一个参数化的类;

driver是最底层的,这里不必进行实例化,只需在build_phase中,也要完成类的实例化工作,只需调用super.build_phase(phase);In_agent实现driver,monitor,sequencer的实例化,而In_agent的实例化则在env的build_phase中完成;

build_phase中除了完成实例化之外,还要完成config_db机制的get行为,即把其它component设置给此component的一些参数接收过来;

任何一个派生自uvm_component类的主要的动作都是在main_phase中完成的,main_phase的第一句话是super.main_phase,即调用父类的main_phase;seq_item_port是用于连接driver和sequencer的一个端口,driver如果想要发送数据就要从这个端口获得;sequence如果有数据要给driver,也要通过这个端口给driver;seq_item_port中my_driver的父类中,即uvm_driver类中,它的实例化也是在uvm_driver的build_phase中完成的;

agent有两种形式,UVM_PASSIVE和UVM_ACTIVE,以前者方式运转的agent只监测总线而不驱动总线;以后者方式运行的agent驱动总线,也可以监测总线;

uvm_blocking_get_port也是一个TLM事务级端口,用来接收一个uvm_analysis_port发送的信息,而uvm_analysis_port是发送信息的;uvm验证平台的各个组件之间通过这两个端口来实现事务级别通信;

scoreboard中一般使用一个队列来暂存从reference model得到的期望数据;

uvm验证平台可以使用uvm_tlm_analysis_fifo把uvm_blocking_get_port和uvm_analysis_port连接;

在uvm中,即使driver,monitor,reference model和scoreboard的main_phase都是无限循环的,但是也不需要显式的调用finish,只需要使用objection机制即可。在发包之前,通过调用startingphase.raiseobjection(this)告诉UVM可以开始发包了,当包发送完后,调用startingphase.dropobjection(this)来告诉UVM可以使用finish,只需要使用objection机制即可。在发包之前,通过调用startingphase.raiseobjection(this)告诉UVM可以开始发包了,当包发送完后,调用startingphase.dropobjection(this)来告诉UVM可以使用finish了;当调用drop_objection时,UVM会检查其它的component的objection是否已经被drop了,直到被drop后,才会调用$finish.

在program顶层,调用run_test()函数会create tc-->create env。调用run_test后,会自动创建一个env的实例,会自动调用env中的main_phase。所有派生自uvm_component及其派生类的类,都应该使用uvm_component_utils宏来注册。在uvm验证平台中,只要一个类使用uvm_component_utils注册,切此类被实例化了,那么这个类的main_phase会自动被调用。

uvm验证平台的开启和关闭,一般是在main_phase中,通过raise_objection和drop_objection来开启和关闭。

在uvm的build_phase中,一定要使用super.build_phase(phase);语句来声明,否则的话,uvm的树形结构就无法建立起来,这一点要牢记。

使用uvm进行编程,要对uvm的基类比较熟悉。想实现一个功能的时候,首先要知道从uvm的哪个基类里面来派生。比如说,所有的transaction,都必须从uvm_sequence_item这个uvm的基类来派生。

uvm_driver中预先定义好了一个任务,叫做main_phase,UVM由phase来管理验证平台的运行。可以简单地认为,实现一个driver,就是实现uvm_driver::main_phase这个task任务。

有时候如果不知道当前代码的层次结构,可以使用get_full_name函数来获取。不过get_full_name函数只能用于component组件,无法应用于object组件,因为只有component组件才构成了uvm的树形结构。可以使用`uvm_info("DEBUG",get_full_name(),UVM_LOW)在uvm component组件中。

uvm学习杂记的更多相关文章

  1. [Flask]学习杂记--模板

    这个学习杂记主要不是分享经验,更多是记录下falsk的体验过程,以后做东西在深入研究,因为django之前用的时间比较长,所以很多概念都是一看而过,做个试验了解下flask的功能. flask中使用是 ...

  2. Java编程思想学习杂记(1-4章)

    程序流程控制 移位运算符 移位运算符面向的运算对象是二进制的位,可单独用它们处理整数类型.左移位运算符(<<)能将运算符左边的运算对象向左移动运算符右侧指定的位数(在低位补0)." ...

  3. Thinking in Java学习杂记(5-6章)

    Java中可以通过访问控制符来控制访问权限.其中包含的类别有:public, "有好的"(无关键字), protected 以及 private.在C++中,访问指示符控制着它后面 ...

  4. Thinking in Java学习杂记(第7章)

    将一个方法调用同一个方法主体连接到一起就称为"绑定"(Binding).若在程序运行以前执行绑定,就叫做"早期绑定".而Java中绑定的所有方法都采用后期绑定技 ...

  5. Android学习杂记

    Jni接口学习资料: http://www.cnblogs.com/lsnproj/archive/2012/01/09/2317519.html classLoader和插件化: http://bl ...

  6. django 学习杂记

    django1.9 学习路径 http://python.usyiyi.cn/django/intro/tutorial02.html django 中url路径带参数,在view中应该如何处理 应该 ...

  7. Linux学习杂记

    近期一口气看完了韩顺平老师讲的Linux视频教程,自己也在学习的过程中做了些笔记,记载例如以下.希望帮助到一些喜欢研究Linux的同学.也算是在云端备份一下笔记吧.以免电脑出现不可控的因素而遗失自己的 ...

  8. Python学习杂记_4_分支和循环

    分支 和 循环 分支和循环这俩结构在各语言中都有着很重要的地位,当然我之前都没有学好,这里总结一下在Python学习中对这俩结构的认识. 分支结构 # 单分支 if 条件判断: 执行语句… # 双分支 ...

  9. Disruptor学习杂记

    慎入,有点乱,只是学习记录,disruptor_2.10.4 1.Disruptor对象有一个EventProcessorRepository对象   2.EventProcessorReposito ...

随机推荐

  1. 【Raspberry Pi】crontab 定时任务

    在linux上做定时任务一般用crond 两种方法上文已列,但昨天写的crond命令却一直都没有运行,上网查,有说是环境变量的,也有说是时间问题的,都改过,但还没有效. 今天再次认真读了一遍cront ...

  2. CFontDialog学习

    void CMfcFontDlgDlg::OnBtnFont() { // Show the font dialog with all the default settings. CFontDialo ...

  3. 主流数据文件类型(.dat/.txt/.json/.csv)导入到python

    手写很累,复制的同学请点赞犒劳下在下哦 ^_^ 一.对于.CSV类型的数据 它们的数据导入都很简单 且看下面一顿操作: 我平时一般是读取整个文件,直接这样就可以了: import pandas as ...

  4. java的junit測试

    在实际的开发中不仅须要断点调试.语句输出的方法进行程序的调试,也须要单元測试. 在java中的junit的測试方法通常是在要測试的方法上面加入@Test.@ Before.@After,@Before ...

  5. Flash Builder 相关

    1.Flex SDK 4.1 兼容性 Flex SDK 4.1 兼容 Flash Builder 4.0 ,因此在 Flash Builder 4.0 中使用 4.1 SDK 时可以使用设计视图 Fl ...

  6. UIImagePickerController在UIPopoverController中 旋屏问题

    1弧度=180/π度1度=π/180弧度今天遇到了 一个问题.UIImagePickerController在UIPopoverController中 旋屏问题. 在查找了许多资料后方知,此乃iOS系 ...

  7. 记一次androidd登陆页面的实现

    背景 先说个事:最近我准备做个开源的博客园android客户端!符合Google最新的material design设计风格的!不知道有没有小伙伴愿意和我一起做呢?如果有愿意的,请私信我哦!!!!我还 ...

  8. Jmeter - 分布式部署负载机

    1. 原理图: 2.具体操作 ① 负载机 安装JDK.Jmeter[版本与Controller 调度机一致] ② 配置环境变量 ③ 负载机自定义端口号 a.进入Jmeter的bin目录,找到Jmete ...

  9. MVC自定义验证 jquery.validate.unobtrusive

    MVC的验证 jquery.validate.unobtrusive 阅读目录 一.应用 二.验证规则 1.一.简单规则 2.二.复杂一点的规则 3.三.再复杂一点的规则(正则) 4.四.再再复杂一点 ...

  10. 使用ODBC 数据库 ,运行程序时 出现 “遇到不适当的参数”

    我知道的一种情况是 数据库打开了,没有关闭,再次调用数据库打开函数,会出现这样错误.当然是打开同一个数据库同一张表.