fpga之显示字符串
//必须在有效区域下显示颜色才有颜色
显示字符可以在设定一个有效区域内显示
另加两个wire 求出新的x,y
module vga_fpga(
clk,rst_n,
vga_b,vga_g,vga_r,rom_data,rom_addr,
VGA_HS,VGA_VS,
VGA_BLANK_N,VGA_SYNC_N,VGA_CLK
);
input clk,rst_n;
output [4:0] rom_addr;
output [127:0]rom_data;
output [7:0] vga_b;
output [7:0] vga_g;
output [7:0] vga_r;
output VGA_BLANK_N ;
output VGA_HS;
output VGA_SYNC_N;
output VGA_VS;
output VGA_CLK;
/*********************************/
//扫描x,y;
reg [10:0] count_x;//计数列
reg [10:0] count_y;//计数行
always @(posedge clk or negedge rst_n)
if(!rst_n)
count_x <= 1'd0;
else if(count_x == 11'd1056)
count_x <= 1'd0;
else
count_x <= count_x + 1'b1;
always @(posedge clk or negedge rst_n)
if(!rst_n)
count_y <= 1'd0;
else if(count_y == 11'd625)
count_y <= 1'd0;
else if(count_x == 11'd1056)
count_y <= count_y +1'd1;
else
count_y <= count_y;
/************************************/
//行列同步
assign VGA_VS = (count_y <= 11'd3) ? 1'b0 : 1'b1;
assign VGA_HS = (count_x <= 11'd80)? 1'b0 : 1'b1;
assign VGA_SYNC_N = (count_y <= 11'd3) ? 1'b0 : 1'b1;
assign VGA_BLANK_N = (count_x <= 11'd80)? 1'b0 : 1'b1;
/**************************************/
//是否行列有效
reg isready;
always @(posedge clk or negedge rst_n)
if(!rst_n)
isready <= 1'b0;
else if((count_x > 11'd240 && count_x < 11'd1040)&&(count_y > 11'd24 && count_y < 11'd624))
isready <= 1'b1;
else
isready <= 1'b0;
/************************************/
//x,y坐标
wire [10:0]loca_x;
wire [10:0]loca_y;
assign loca_x = isready ? count_x-11'd240 : 11'd0;
assign loca_y = isready ? count_y-11'd24 : 11'd0;
/*****************************************/
//显示 从第一百行 第一百列开始
//在小矩形框内显示
reg isvalid;
always @(posedge clk or negedge rst_n)
if(!rst_n)
isvalid <= 1'b0;
else if((loca_x > 7'd99 && loca_x < 8'd228)&&(loca_y > 7'd99 && loca_y < 8'd132))
isvalid <= 1'b1;
else isvalid <= 1'b0;
wire [7:0] valid_x;
wire [7:0] valid_y;
assign valid_x = isvalid ? loca_x - 8'd100 :1'd0;
assign valid_y = isvalid ? loca_y - 8'd100 :1'd0;
/*****************************************/
reg [5:0] l;//行
always @(posedge clk or negedge rst_n)
if(!rst_n)
l <= 1'd0;
else if(isready && (valid_y < 7'd32))
l <= valid_y;
// else
// l <= 1'd0;
reg [7:0] h;
always @(posedge clk or negedge rst_n)
if(!rst_n)
h <= 1'd0;
else if(isready && (valid_x < 8'd128))
h <= valid_x;
//else
// h <= 1'd0;
//显示颜色
reg [23:0] vga_s;
always @(posedge clk or negedge rst_n)
if(!rst_n)
vga_s <= 24'd0;
else if( rom_data[7'd127 - h] && isvalid)
vga_s <= 24'hfffafa; //baise
else if(!rom_data[7'd127 - h] && isvalid)
vga_s <= 24'h008b00; //绿
else
vga_s <= 24'hffec8b;//huang
/**********************************************/
vga_rom (
.address (rom_addr ),
.clock ( clk ),
.q ( rom_data )
);
assign rom_addr = l;
assign VGA_CLK = clk;
assign vga_b = isready ? vga_s[7:0] :8'd0;
assign vga_g = isready ? vga_s[15:8] :8'd0;
assign vga_r = isready ? vga_s[23:16]:8'd0;
endmodule
fpga之显示字符串的更多相关文章
- 强化学习实战 | 自定义gym环境之显示字符串
如果想用强化学习去实现扫雷.2048这种带有数字提示信息的游戏,自然是希望自定义 gym 环境时能把字符显示出来.上网查了很久,没有找到gym自带的图形工具Viewer可以显示字符串的信息,反而是通过 ...
- x8086汇编在显存中显示字符串
题目:在屏幕中间显示绿色,绿底红色,白底蓝色的字符串‘welcome to masm!’ 80X25彩色字符模式显示缓冲区的结构: 在内存地址结构中,B8000H~BFFFFH共32KB的空间,为80 ...
- fpga vga 显示
VGA(Video Graphics Array)是IBM在1987年随PS/2机一起推出的一种视频传输标准,具有分辨率高.显示速率快.颜色丰富等优点,在彩色显示器领域得到了广泛的应用.不支持热插拔, ...
- 嵌入式中 动态阿拉伯语字符串 转换 LCD显示字符串【感谢建国雄心】
本文参考CSDBN:建国雄心 的博客,这里找不到该帖子,放一个类似的仅供参考https://blog.csdn.net/qiaojiongzeng6321/article/details/748572 ...
- 让QtCreator在调试时显示字符串 Qt调试助手 QtDebuggingHelper qtc-debugging-helper
When starting gdb with application message “Debugging Helper Missing” is displayed [Solved] http://q ...
- 应中DOS中断显示字符串(摘自《汇编语言》王爽)
data segment s1 db 'Good,better,best,$' s2 db 'Never let it rest,$' s3 db 'Till good is better,$' s4 ...
- php 每隔30s在页面显示字符串
例子 // 30秒执行一次 ignore_user_abort(); //即使Client断开(如关掉浏览器),PHP脚本也可以继续执行. set_time_limit(); // 执行时间为无限制, ...
- 编程:在屏幕中间分别显示绿色、绿底红色、白底蓝色的字符串 'welcome to masm!'
body, table{font-family: 微软雅黑; font-size: 13.5pt} table{border-collapse: collapse; border: solid gra ...
- C# 字符串多行显示、文本换行
以textbox为例 ①:先设置textbox的属性Multiline为true ②:组织好显示字符串:FistLine(第一行要显示的字符).SecondLine(第二行要显示的字符)....... ...
随机推荐
- MVC中如何实现本地化的解决方案
1. Q: 什么是本地化? A: 本地化是指企业在国际化过程中,为了提高市场竞争力,同时降低成本,将产品的生产.销售等环节按特定国家/地区或语言市场的需要进行组织,使之符合特定区域市场的组织变革过程. ...
- 1关于script标签属性,注意点,浏览器文档模式,各种数据类型的转化
<!DOCTYPE html PUBLIC "-//W3C//DTD XHTML 1.0 Transitional//EN" "http://www.w3.org/ ...
- iOS基础 - Modal展示控制器
一.利用Modal形式展示控制器 1.如何展示 // vc就是要展示的新控制器 [self presentViewController:vc animated:YES completion:^{ NS ...
- 排序算法学习,python实现
原创博文,转载请注明出处 利用周六周末的时间把几种基本的排序方法用python实现了一下,废话少说,直接上代码. 本文不注重基础知识的讲解,只做大致的描述,大家如果不清楚概念,自行查找资料. 直接插入 ...
- .NET中文乱码解决方案
前言:最近升级一个由VS05开发的项目,当迁移至VS10后,试运行,啊~!我文盲了,怎么一个汉字都不认识了!(乱码纷纷的说) 说明:本文以将项目改为UTF8编码为例. 解决之道 1.修改配置文件 &l ...
- Windbg找出死锁
使用Windbg找出死锁,解决生产环境中运行的软件不响应请求的问题 前言 本文介绍本人的一次使用Windbg分析dump文件找出死锁的过程,并重点介绍如何确定线程所等待的锁及判断是否出现了死锁. 对于 ...
- C/C++基础知识总结——数据的共享与保护
1. 标识符的作用域与可见性 1.1 作用域 标识符的作用域包括:函数原型作用域.局部作用域.类作用域.命名空间作用域 (1) 函数原型作用域:函数的参与的作用域就是从函数的开始到结束 (2) 局部作 ...
- IOS学习之路十八(通过 NSURLConnection 发送 HTTP 各种请求)
你想通过 Http 协议向服务器发送一个 Get 的包装请求,并在这个请求中添加了一些请 求参数. 向远程服务器发送一个 GET 请求,然后解析返回的数据.通常一个 GET 请求是添加了 一些参数的, ...
- 对无返回值、使用Action或Func作为参数、多重载的方法进行单元测试
VS2012 Unit Test(Void, Action, Func) —— 对无返回值.使用Action或Func作为参数.多重载的方法进行单元测试 [提示] 1. 阅读文本前希望您具备如下知识: ...
- 查看TOMCAT内存使用情况 以及修改方法
查看TOMCAT内存使用情况 <% double total = (Runtime.getRuntime().totalMemory()) / (1024.0 * 1024); double m ...