Verilog笔记——YUV2RGB的模块测试
1 YUV2RGB的模块如下:
module yuv2rgb(
clk, //时钟输入
rstn, //复位输入,低电平复位 y_in, //变换前Y分量输出
cb_in, //变换前Cb分量输出
cr_in, //变换前Cr分量输出
ena_in, //待变换数据使能,当它为高时,输入数据有效 R_out, //变换后R分量输出
G_out, //变换后G分量输出
B_out, //变换后B分量输出
ena_out //变换后数据使能输出
);
测试模块功能的方法:
step1 用MATLAB读入一张RGB图片,将RGB转成YUV数据保存在txt文件中;
step2 用该模块把YUV数据转换成RGB数据并保存;
step3 用MATLAB读取模块转换的RGB数据做显示。
接下来详细说明step1~3的实现过程。
2 step1 用MATLAB读入一张RGB图片,将RGB转成YUV数据保存在txt文件中;
clc;close all;clear
RGBimg =imread('Penguins_720p.jpg'); %%用画图软件重新调整像素大小得到的720p图片
figure;imshow(RGBimg);
YUVimg = rgb2ycbcr(RGBimg); %%matlab中的转换函数
figure;imshow(YUVimg); [Hs Vs Dim] = size(YUVimg);
yuvimout = zeros(,Hs*Vs*Dim);
yuvimout(::Hs*Vs*Dim) = reshape(YUVimg(:,:,)',1,Hs*Vs); %%Y
yuvimout(::Hs*Vs*Dim) = reshape(YUVimg(:,:,)',1,Hs*Vs); %%U
yuvimout(::Hs*Vs*Dim) = reshape(YUVimg(:,:,)',1,Hs*Vs); %%V
fid= fopen('Penguins_720p.txt','w'); %%YUV数据写入到txt
fprintf(fid,'%02x\n',yuvimout); %%2位十六进制格式
fclose(fid); fid= fopen('Penguins_720p.yuv','rb'); %%用“7yuv”专业软件转换得到的yuv数据
yuvdat = fread(fid,'uint8');
yuvdat = yuvdat';
fclose(fid);
subAB = yuvdat-yuvimout; %%比较下matlab转换的yuv数据
figure;stem(find(subAB~=));
3 step2 用该模块把YUV数据转换成RGB数据并保存;
testbench的代码如下:
`timescale 1ns / 1ps module tb_yuv2rgb;
// Inputs
reg clk;
reg rstn; //复位输入,低电平复位
reg [:] y_in;
reg [:] cb_in;
reg [:] cr_in;
reg ena_in;
// Outputs
wire [:] R_out;
wire [:] G_out;
wire [:] B_out;
wire ena_out;
// Instantiate the Unit Under Test (UUT)
yuv2rgb uut (
.clk(clk),
.rstn(rstn),
.y_in(y_in),
.cb_in(cb_in),
.cr_in(cr_in),
.ena_in(ena_in),
.R_out(R_out),
.G_out(G_out),
.B_out(B_out),
.ena_out(ena_out)
); localparam PIXNUM_1080P =(**);
localparam PIXNUM_720P =(**); //read pixel from .txt file
reg[:] mem_imgpixel[:**];
reg [:] pixaddr;
integer fid,i;
initial begin //读取图片的YUV数据
$readmemh("Penguins_720p.txt",mem_imgpixel);
pixaddr = ;
#PIXNUM_1080P begin //等待图片的数据转换完成
fid = $fopen("Penguins_720pRGBout.txt","w");
for(i=; i<PIXNUM_720P; i=i+)
$fdisplay(fid,"%2x",mem_rgbout[i]);//输出转换的RGB数据
$fclose(fid);
$stop;
end
end
//clk的上升沿给输入的yuv数据
always @(posedge clk or negedge rstn) begin
if(!rstn) begin
y_in <= 'b0;
cb_in <= 'b0;
cr_in <= 'b0;
ena_in <= 'b0;
pixaddr<= ;
end
else begin
y_in <= mem_imgpixel[pixaddr];
cb_in <= mem_imgpixel[pixaddr+];
cr_in <= mem_imgpixel[pixaddr+];
ena_in <= 'b1;
pixaddr<= pixaddr + ;
end
end reg[:] outaddr;
reg[:] mem_rgbout[:**];//clk的下降沿读取转换的rgb数据
always @(negedge clk or negedge rstn) begin
if(!rstn) begin
outaddr <= ;
end
else begin //存入对应下标
mem_rgbout[outaddr] <= R_out;
mem_rgbout[outaddr+] <= G_out;
mem_rgbout[outaddr+] <= B_out;
outaddr <= outaddr + ; //下标增加3
end
end initial begin
// Initialize Inputs
clk = ;
rstn = ;
y_in = ;
cb_in = ;
cr_in = ;
ena_in = ;
#;
rstn = ;
// Wait 100 ns for global reset to finish
#;
rstn = ;
// Add stimulus here
end
always # clk =~clk;
endmodule
4 step3 用MATLAB读取模块转换的RGB数据做显示。
clc;close all;clear filename = 'Penguins_720pRGBout.txt';
fid = fopen(filename,'r');
rgbdat = fscanf(fid,'%x');
rgbdat = uint8(rgbdat'); %%转换为uint8
fclose(fid); imglen = ; imgwidth = ;
len = length(rgbdat);
r = rgbdat(::len);
r = reshape(r,imglen,imgwidth);
r = r'; g = rgbdat(::len);
g = reshape(g,imglen,imgwidth);
g = g'; b = rgbdat(::len);
b = reshape(b,imglen,imgwidth);
b = b'; rgbimg = cat(,r,g,b);
imshow(rgbimg);
step3中rgb数据正确时显示的图片
Verilog笔记——YUV2RGB的模块测试的更多相关文章
- Verilog笔记——Verilog数字系统设计(第二版)夏宇闻
本片记录Verilog学习笔记,主要是和以往用的C语言的不同之处,以例子.代码的形式记录.学习以<Verilog数字系统设计>(第二版)为参考资料,援助作者夏宇闻. 1. C语言和Veri ...
- Verilog笔记.1.基本语法
0.前 抽象模型分级: • 系统级(system):用高级语言结构实现设计模块的外部性能的模型.• 算法级(algorithm):用高级语言结构实现设计算法的模型.• RTL级(Register Tr ...
- Verilog笔记.三段式状态机
之前都是用的一段式状态机,逻辑与输出混在一起,复杂点的就比较吃力了. 所以就开始着手三段式状态机. 组合逻辑与时序逻辑分开,这样就能简单许多了. 但是两者在思考方式上也有着很大的区别. 三段式,分作: ...
- Verilog笔记.6.FIFO
FIFO,First In First Out ,是一种先进先出的数据缓存器. 没有外部读写地址线,只能顺序写入数据,顺序的读出数据, 其数据地址由内部读写指针自动加1完成. 不能像普通存储器那样可以 ...
- Verilog笔记.5.同步、异步
在数字电路中经常有同步synchronism.异步asynchronism的概念.异步指输入信号和时钟无关:同步指输入信号和时钟信号有关,实际上就是输入信号和时钟信号进行了与运算或者与非运算.实际开发 ...
- Verilog笔记.4.inout端口
inout是一个双向端口,实现为使用三态门,第三态为高阻态‘z’. 在实际电路中高阻态意味着响应的管脚悬空.断开. 当三态门的控制信号为真时,三态门选通,作输出端口使用:控制信号为假时,三态门是高阻态 ...
- Verilog笔记.3.有限状态机
有限状态机有限状态机是由寄存器组和组合逻辑构成的硬件时序电路,其状态(即由寄存器组的1和0的组合状态所构成的有限个状态)只可能在同一时钟跳变沿的情况下才能从一个状态转向另一个状态,究竟转向哪一状态还是 ...
- Verilog笔记.2.数字逻辑电路
1.数字逻辑电路的种类:1) 组合逻辑:输出只是当前输入逻辑电平的函数(有延时),与电路的原始状态无关的逻辑电路.也就是说,当输入信号中的任何一个发生变化时,输出都有可能会根据其变化而变化,但与电路目 ...
- 【转】Verilog HDL常用建模方式——《Verilog与数字ASIC设计基础》读书笔记(四)
Verilog HDL常用建模方式——<Verilog与数字ASIC设计基础>读书笔记(四) Verilog HDL的基本功能之一是描述可综合的硬件逻辑电路.所谓综合(Synthesis) ...
随机推荐
- 从一个url输入浏览器到页面渲染出来,这个过程都发生了哪些事情?
经典问题:在浏览器输入一个url后,会发生什么事情呢? (1)假设是简单的http请求(GET),IPV4,无代理. 浏览器先查看浏览器缓存-系统缓存-路由器缓存,若缓存中有,请略过中间步骤,直接跳到 ...
- Fast RCNN 训练自己数据集 (2修改数据读取接口)
Fast RCNN训练自己的数据集 (2修改读写接口) 转载请注明出处,楼燚(yì)航的blog,http://www.cnblogs.com/louyihang-loves-baiyan/ http ...
- CF380C. Sereja and Brackets[线段树 区间合并]
C. Sereja and Brackets time limit per test 1 second memory limit per test 256 megabytes input standa ...
- Slam(即时定位与地图构建) 知识篇
Slam即时定位与地图构建 技术解释 同步定位与地图构建(SLAM或Simultaneous localization and mapping)是一种概念:希望机器人从未知环境的未知地点出发,在运动过 ...
- 6.bootstrap练习笔记-缩略图和list-group
bootstrap练习笔记-缩略图 1.其实缩略图很简单,只要按照固定的格式来设计 div.container 总容器 在宽度为1200px以上 div.row 一行内容 div.col-lg-3. ...
- 分布式监控系统Zabbix-3.0.3-完整安装记录(2)-添加mysql监控
Zabbix3.0 Server以后就自带了MySQL插件来监控mysql数据库的模板,只需要配置好agent客户端,然后在web端给主机增加模板就行了. 以下是公司线上的zabbix3.0环境下添加 ...
- C#.NET 大型企业信息化系统集成快速开发平台 4.1 版本 - 面向数据库SQL语句的应用开发二
很多传统企业.包括系统集成类的IT企业,若不是从事专业软件开发领域的,能做出一套适合本公司企业信息化的灵活的信息系统还是很有难度的,还有一些已经多年不写程序的资深开发人员,初学者,都难把一个整套系统实 ...
- 阻止事件冒泡,阻止默认事件,event.stopPropagation()和event.preventDefault(),return fal的区别
今天来看看前端的冒泡和事件默认事件如何处理 1.event.stopPropagation()方法 这是阻止事件的冒泡方法,不让事件向documen上蔓延,但是默认事件任然会执行,当你掉用这个方法的时 ...
- C#基础系列——再也不用担心面试官问我“事件”了
前言:作为.Net攻城狮,你面试过程中是否遇到过这样的问题呢:什么是事件?事件和委托的区别?既然事件作为一种特殊的委托,那么它的优势如何体现?诸如此类...你是否也曾经被问到过?你又是否都答出来了呢? ...
- 音乐播放器 EasyMusic (一)
EasyMusic 一. 代码获取 github 上链接为 https://github.com/VincentWYJ/EasyMusic, 感兴趣的朋友可以同步下来看, 欢迎提出宝贵意见或建议. 1 ...