上一篇分享了一个视频时序生成代码,下面我根据之前项目中用到的时序,对各个参数做了库文件,方便调用。

--
-- Package File Template
--
-- Purpose: This package defines supplemental types, subtypes,
-- constants, and functions
--
-- To use any of the example code shown below, uncomment the lines and modify as necessary
-- library IEEE;
use IEEE.STD_LOGIC_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all; package timing_factor_pkg is
--------------------------------------------------------------------------------
-- Old data types retained for backwards compatibility
subtype factor_type is std_logic_vector( downto ); ----------------------1920x1200@60Hz--------------------------------
constant hsblnk_1920x1200 : factor_type :="";--
constant hssync_1920x1200 : factor_type :="";--
constant hesync_1920x1200 : factor_type :="";--
constant heblnk_1920x1200 : factor_type :="";--
constant vsblnk_1920x1200 : factor_type :="";--
constant vssync_1920x1200 : factor_type :="";--
constant vesync_1920x1200 : factor_type :="";--
constant veblnk_1920x1200 : factor_type :="";-- ----------------------*@60HZ-------------------------------
constant hsblnk_1920x1080 : factor_type :="";--
constant hssync_1920x1080 : factor_type :="";--
constant hesync_1920x1080 : factor_type :="";--
constant heblnk_1920x1080 : factor_type :="";--
constant vsblnk_1920x1080 : factor_type :="";--
constant vssync_1920x1080 : factor_type :="";--
constant vesync_1920x1080 : factor_type :="";--
constant veblnk_1920x1080 : factor_type :="";-- --------------------*@//50HZ----------------------------
constant hsblnk_1920x1080_50 : factor_type :="";--
constant hssync_1920x1080_50 : factor_type :="";--
constant hesync_1920x1080_50 : factor_type :="";--
constant heblnk_1920x1080_50 : factor_type :="";--
constant vsblnk_1920x1080_50 : factor_type :="";--
constant vssync_1920x1080_50 : factor_type :="";--
constant vesync_1920x1080_50 : factor_type :="";--
constant veblnk_1920x1080_50 : factor_type :="";-- --------------------*@60Hz I----------------------------
constant hsblnk_1920x1080_60I : factor_type :="";--
constant hssync_1920x1080_60I : factor_type :="";--
constant hesync_1920x1080_60I : factor_type :="";--
constant heblnk_1920x1080_60I : factor_type :="";--
constant vsblnk_1920x1080_60I : factor_type :="";--
constant vssync_1920x1080_60I : factor_type :="";--
constant vesync_1920x1080_60I : factor_type :="";--
constant veblnk_1920x1080_60I : factor_type :="";-- --------------------*@50Hz I----------------------------
constant hsblnk_1920x1080_50I : factor_type :="";--
constant hssync_1920x1080_50I : factor_type :="";--
constant hesync_1920x1080_50I : factor_type :="";--
constant heblnk_1920x1080_50I : factor_type :="";--
constant vsblnk_1920x1080_50I : factor_type :="";--
constant vssync_1920x1080_50I : factor_type :="";--
constant vesync_1920x1080_50I : factor_type :="";--
constant veblnk_1920x1080_50I : factor_type :="";-- --------------------*@24HZ----------------------------
constant hsblnk_1920x1080_24 : factor_type :="";--
constant hssync_1920x1080_24 : factor_type :="";--
constant hesync_1920x1080_24 : factor_type :="";--
constant heblnk_1920x1080_24 : factor_type :="";--
constant vsblnk_1920x1080_24 : factor_type :="";--
constant vssync_1920x1080_24 : factor_type :="";--
constant vesync_1920x1080_24 : factor_type :="";--
constant veblnk_1920x1080_24 : factor_type :="";-- ----------------------1600x1200@60Hz-----------------------------------
constant hsblnk_1600x1200 : factor_type :="";--
constant hssync_1600x1200 : factor_type :="";--
constant hesync_1600x1200 : factor_type :="";--
constant heblnk_1600x1200 : factor_type :="";--
constant vsblnk_1600x1200 : factor_type :="";--
constant vssync_1600x1200 : factor_type :="";--
constant vesync_1600x1200 : factor_type :="";--
constant veblnk_1600x1200 : factor_type :="";-- ----------------------1400x1050@60Hz-------------------------------
constant hsblnk_1400x1050 : factor_type :="";--
constant hssync_1400x1050 : factor_type :="";--
constant hesync_1400x1050 : factor_type :="";--
constant heblnk_1400x1050 : factor_type :="";--
constant vsblnk_1400x1050 : factor_type :="";--
constant vssync_1400x1050 : factor_type :="";--
constant vesync_1400x1050 : factor_type :="";--
constant veblnk_1400x1050 : factor_type :="";-- ----------------------1440x900@60Hz--------------------------------
constant hsblnk_1440x900 : factor_type :="";--
constant hssync_1440x900 : factor_type :="";--
constant hesync_1440x900 : factor_type :="";--
constant heblnk_1440x900 : factor_type :="";--
constant vsblnk_1440x900 : factor_type :="";--
constant vssync_1440x900 : factor_type :="";--
constant vesync_1440x900 : factor_type :="";--
constant veblnk_1440x900 : factor_type :="";-- ----------------------1440x480I@60Hz--------------------------------
constant hsblnk_1440x480I : factor_type :="";--
constant hssync_1440x480I : factor_type :="";--
constant hesync_1440x480I : factor_type :="";--
constant heblnk_1440x480I : factor_type :="";--
constant vsblnk_1440x480I : factor_type :="";--
constant vssync_1440x480I : factor_type :="";--
constant vesync_1440x480I : factor_type :="";--
constant veblnk_1440x480I : factor_type :="";-- ----------------------1440x576I@60Hz--------------------------------
constant hsblnk_1440x576I : factor_type :="";--
constant hssync_1440x576I : factor_type :="";--
constant hesync_1440x576I : factor_type :="";--
constant heblnk_1440x576I : factor_type :="";--
constant vsblnk_1440x576I : factor_type :="";--
constant vssync_1440x576I : factor_type :="";--
constant vesync_1440x576I : factor_type :="";--
constant veblnk_1440x576I : factor_type :="";-- ----------------------1280x800@60Hz----------------------------
constant hsblnk_1280x800 : factor_type :="";--
constant hssync_1280x800 : factor_type :="";--
constant hesync_1280x800 : factor_type :="";--
constant heblnk_1280x800 : factor_type :="";--
constant vsblnk_1280x800 : factor_type :="";--
constant vssync_1280x800 : factor_type :="";--
constant vesync_1280x800 : factor_type :="";--
constant veblnk_1280x800 : factor_type :="";-- ----------------------1280x800@75Hz----------------------------
constant hsblnk_1280x800_75 : factor_type :="";--
constant hssync_1280x800_75 : factor_type :="";--
constant hesync_1280x800_75 : factor_type :="";--
constant heblnk_1280x800_75 : factor_type :="";--
constant vsblnk_1280x800_75 : factor_type :="";--
constant vssync_1280x800_75 : factor_type :="";--
constant vesync_1280x800_75 : factor_type :="";--
constant veblnk_1280x800_75 : factor_type :="";-- ----------------------1280x768@60Hz----------------------------
constant hsblnk_1280x768 : factor_type :="";--
constant hssync_1280x768 : factor_type :="";--
constant hesync_1280x768 : factor_type :="";--
constant heblnk_1280x768 : factor_type :="";--
constant vsblnk_1280x768 : factor_type :="";--
constant vssync_1280x768 : factor_type :="";--
constant vesync_1280x768 : factor_type :="";--
constant veblnk_1280x768 : factor_type :="";-- ----------------------1280x768@75Hz----------------------------
constant hsblnk_1280x768_75 : factor_type :="";--
constant hssync_1280x768_75 : factor_type :="";--
constant hesync_1280x768_75 : factor_type :="";--
constant heblnk_1280x768_75 : factor_type :="";--
constant vsblnk_1280x768_75 : factor_type :="";--
constant vssync_1280x768_75 : factor_type :="";--
constant vesync_1280x768_75 : factor_type :="";--
constant veblnk_1280x768_75 : factor_type :="";-- ---------------------*@60HZ--------------------------------
constant hsblnk_1280x720 : factor_type :="";--
constant hssync_1280x720 : factor_type :="";--
constant hesync_1280x720 : factor_type :="";--
constant heblnk_1280x720 : factor_type :="";--
constant vsblnk_1280x720 : factor_type :="";--
constant vssync_1280x720 : factor_type :="";--
constant vesync_1280x720 : factor_type :="";--
constant veblnk_1280x720 : factor_type :="";-- ---------------------*@50HZ--------------------------------
constant hsblnk_1280x720_50 : factor_type :="";--
constant hssync_1280x720_50 : factor_type :="";--
constant hesync_1280x720_50 : factor_type :="";--
constant heblnk_1280x720_50 : factor_type :="";--
constant vsblnk_1280x720_50 : factor_type :="";--
constant vssync_1280x720_50 : factor_type :="";--
constant vesync_1280x720_50 : factor_type :="";--
constant veblnk_1280x720_50 : factor_type :="";-- ---------------------*@30HZ------------------------------------
constant hsblnk_1280x720_30 : factor_type :="";--
constant hssync_1280x720_30 : factor_type :="";--
constant hesync_1280x720_30 : factor_type :="";--
constant heblnk_1280x720_30 : factor_type :="";--
constant vsblnk_1280x720_30 : factor_type :="";--
constant vssync_1280x720_30 : factor_type :="";--
constant vesync_1280x720_30 : factor_type :="";--
constant veblnk_1280x720_30 : factor_type :="";-- ---------------------1280x1024@-------------------------------------
constant hsblnk_1280x1024 : factor_type :="";--
constant hssync_1280x1024 : factor_type :="";--
constant hesync_1280x1024 : factor_type :="";--
constant heblnk_1280x1024 : factor_type :="";--
constant vsblnk_1280x1024 : factor_type :="";--
constant vssync_1280x1024 : factor_type :="";--
constant vesync_1280x1024 : factor_type :="";--
constant veblnk_1280x1024 : factor_type :="";-- ---------------------1366x768@--------------------------------------
constant hsblnk_1366x768 : factor_type :="";--
constant hssync_1366x768 : factor_type :="";--
constant hesync_1366x768 : factor_type :="";--
constant heblnk_1366x768 : factor_type :="";--
constant vsblnk_1366x768 : factor_type :="";--
constant vssync_1366x768 : factor_type :="";--
constant vesync_1366x768 : factor_type :="";--
constant veblnk_1366x768 : factor_type :="";-- ---------------------1360x768@--------------------------------------
constant hsblnk_1360x768 : factor_type :="";--
constant hssync_1360x768 : factor_type :="";--
constant hesync_1360x768 : factor_type :="";--
constant heblnk_1360x768 : factor_type :="";--
constant vsblnk_1360x768 : factor_type :="";--
constant vssync_1360x768 : factor_type :="";--
constant vesync_1360x768 : factor_type :="";--
constant veblnk_1360x768 : factor_type :="";-- ---------------------*@60Hz------------------------------------
constant hsblnk_1024x768 : factor_type :="";--
constant hssync_1024x768 : factor_type :="";--
constant hesync_1024x768 : factor_type :="";--
constant heblnk_1024x768 : factor_type :="";--
constant vsblnk_1024x768 : factor_type :="";--
constant vssync_1024x768 : factor_type :="";--
constant vesync_1024x768 : factor_type :="";--
constant veblnk_1024x768 : factor_type :="";-- ---------------------*@75Hz------------------------------------
constant hsblnk_1024x768_75 : factor_type :="";--
constant hssync_1024x768_75 : factor_type :="";--
constant hesync_1024x768_75 : factor_type :="";--
constant heblnk_1024x768_75 : factor_type :="";--
constant vsblnk_1024x768_75 : factor_type :="";--
constant vssync_1024x768_75 : factor_type :="";--
constant vesync_1024x768_75 : factor_type :="";--
constant veblnk_1024x768_75 : factor_type :="";-- ---------------------*@85Hz------------------------------------
constant hsblnk_1024x768_85 : factor_type :="";--
constant hssync_1024x768_85 : factor_type :="";--
constant hesync_1024x768_85 : factor_type :="";--
constant heblnk_1024x768_85 : factor_type :="";--
constant vsblnk_1024x768_85 : factor_type :="";--
constant vssync_1024x768_85 : factor_type :="";--
constant vesync_1024x768_85 : factor_type :="";--
constant veblnk_1024x768_85 : factor_type :="";-- ---------------------*@60Hz------------------------------------
constant hsblnk_800x600 : factor_type :="";--
constant hssync_800x600 : factor_type :="";--
constant hesync_800x600 : factor_type :="";--
constant heblnk_800x600 : factor_type :="";--
constant vsblnk_800x600 : factor_type :="";--
constant vssync_800x600 : factor_type :="";--
constant vesync_800x600 : factor_type :="";--
constant veblnk_800x600 : factor_type :="";-- ---------------------*@75Hz------------------------------------
constant hsblnk_800x600_75 : factor_type :="";--
constant hssync_800x600_75 : factor_type :="";--
constant hesync_800x600_75 : factor_type :="";--
constant heblnk_800x600_75 : factor_type :="";--
constant vsblnk_800x600_75 : factor_type :="";--
constant vssync_800x600_75 : factor_type :="";--
constant vesync_800x600_75 : factor_type :="";--
constant veblnk_800x600_75 : factor_type :="";-- ---------------------*@85Hz------------------------------------
constant hsblnk_800x600_85 : factor_type :="";--
constant hssync_800x600_85 : factor_type :="";--
constant hesync_800x600_85 : factor_type :="";--
constant heblnk_800x600_85 : factor_type :="";--
constant vsblnk_800x600_85 : factor_type :="";--
constant vssync_800x600_85 : factor_type :="";--
constant vesync_800x600_85 : factor_type :="";--
constant veblnk_800x600_85 : factor_type :="";-- ---------------------720x576------------------------------------
constant hsblnk_720x576 : factor_type :="";--
constant hssync_720x576 : factor_type :="";--
constant hesync_720x576 : factor_type :="";--
constant heblnk_720x576 : factor_type :="";--
constant vsblnk_720x576 : factor_type :="";--
constant vssync_720x576 : factor_type :="";--
constant vesync_720x576 : factor_type :="";--
constant veblnk_720x576 : factor_type :="";-- ---------------------720x480------------------------------------
constant hsblnk_720x480 : factor_type :="";--
constant hssync_720x480 : factor_type :="";--
constant hesync_720x480 : factor_type :="";--
constant heblnk_720x480 : factor_type :="";--
constant vsblnk_720x480 : factor_type :="";--
constant vssync_720x480 : factor_type :="";--
constant vesync_720x480 : factor_type :="";--
constant veblnk_720x480 : factor_type :="";-- end;

基于FPGA视频时序生成中的库文件的更多相关文章

  1. 【DSP开发】DSP能用VS2010生成的链接库文件吗?

    [DSP开发]DSP能用VS2010生成的链接库文件吗? 声明:引用请注明出处http://blog.csdn.net/lg1259156776/ 说明:可能这个问题让行家看上去就会莞尔一笑,但是很多 ...

  2. 基于FPGA的视频时序生成

    之前用FPGA做过视频时序方面的设计,现将视频时序的设计方法分享给大家,希望对大家有所帮助. 时序部分可以参考CEA-861D,VESA时序标准. 1080P一帧视频中,一行有2200个像素,其中28 ...

  3. g++ 生成C++ .so库文件,并调用示例

    Tags: g++ C++ so library   在Linux系统下用g++命令编译C++程序.也可以生成so,a链接库   示例一 编译时链接so库 Test.h 文件内容   Main.cpp ...

  4. VC++ 6.0中添加库文件和头文件

    附加头文件包含 VC6.0中: VC6.0默认include包含路径:Tools>Options>Directories>Include files. 对于特定项目的头文件包含,在& ...

  5. 如何在Qt Creator中添加库文件和头文件目录

    在使用QtCreator开发图像处理程序的时候想加入Opencv库来处理图形,添加头文件,需要编辑工程文件夹下的.pro文件在文件中添加以下内容,即可包含头文件的文件夹: INCLUDEPATH += ...

  6. VC6.0中添加库文件和头文件

    附加头文件包含 VC6.0中: VC6.0默认include包含路径:Tools>Options>Directories>Include files. 对于特定项目的头文件包含,在“ ...

  7. Win10资源管理器中的库文件夹按照修改日期排序

    win7之后添加的库十分的好用,可以将下载,音乐,文档设置在我的电脑(win10叫此电脑)首页,快速进入. 我对文件夹设置了按照时间排序,这样进去就可以直接看到最近下载了什么文件.但是win10用时间 ...

  8. VC 6.0中添加库文件和头文件 【转】

    本文转载自:http://blog.sina.com.cn/s/blog_9d3971af0102wxjq.html 加头文件包含 VC6.0中: VC6.0默认include包含路径:Tools&g ...

  9. android 开发中 添加库文件 和so 文件的存放位置和添加依赖

    so文件一般存储在  main 当中  jniLibs 当中 然后在build.gradle中添加 sourceSets { main { jniLibs.srcDirs = ['src/main/j ...

随机推荐

  1. HTML5全屏浏览器兼容方案

    最近一个项目有页面全屏的的需求,搜索了下有HTML5的全屏API可用,不过各浏览器的支持不一样. 标准 webkit  Firefox  IE Element.requestFullscreen() ...

  2. Go Socket实现简单的HttpServer

    在上篇博客中写到如何用Python实现一个类似tomcat的简单服务器,接下来用go语言去实现 1. Go本身自己封装实现了非常简单的httpServer package main import ( ...

  3. Angular路由——路由基础

    一.路由相关对象 Router和RouterLink作用一样,都是导航.Router是在Controller中用的,RouterLink是在模版中用到. 二.路由对象的位置 1.Routes对象 配置 ...

  4. 初窥Java之六

    一.二维数组 1.动态创建 数组中元素类型[] 数组名 = new 数组中元素类型[]; 例如:int[][] arr = new int[二维数组的长度][一维数组的长度]: Int[][] arr ...

  5. zoj3781

    zoj3781赛场上堵在了缩点上emmmmm把原始图相同颜色的方块缩成一个点,然后与它周围不同颜色的联通块连双向边,然后枚举每个点然后求最大深度的最小值因为每次翻转都相当于深度+1(可以手动模拟一下 ...

  6. MyBatis:SQL语句中的foreach的详细介绍

    foreach 也就是遍历迭代,在SQL中通常用在 in 这个关键词的后面foreach元素的属性主要有 item,index,collection,open,separator,close. 分别代 ...

  7. Android系统源代码目录结构 “Android源代码”“目录结构”

    在讲述Android源码编译的三个步骤之前,将先介绍Android源码目录结构,以便读者理清Android编译系统核心代码在Android源代码的位置. Android源代码顶层目录结构如下所示: ├ ...

  8. Build Tools

    构建工具能够帮助你创建一个可重复的.可靠的.携带的且不需要手动干预的构建.构建工具是一个可编程的工具,它能够让你以可执行和有序的任务来表达自动化需求.假设你想要编译源代码,将生成的class文件拷贝到 ...

  9. VMware workstation --虚拟机静态ip设置

    背景介绍 我在本机win10上安装VMware workstation软件,新建两台centos7虚拟机,最近在配服务,每天虚拟机重启后,ip总会变,服务配置文件又要修改,很麻烦,便需要将其ip由dh ...

  10. Git 简单入门(一)

    Git 简介 Git 是目前世界上最先进的分布式版本控制系统 分布式和集中式 集中式版本控制系统 版本库放在中央服务器,干活之前先从中央服务器取得最新版本,然后开始干活,活干完后将自己干的成果推送给中 ...