CIC 抽取滤波器 Verilog Code
- 采用流水线结构的CIC 抽取滤波器结构如下:
- // 三级CIC抽取器实例:cic3_decimator.V
- module cic3_decimator(clk, x_in, y_out);
- parameter STATE_HOLD = 1'b0, STATE_SAMPLE = 1'b1;
- input clk; // 输入时钟
- input [7:0] x_in; // 输入8位数据
- output [25:0] y_out; // 输出26位数据
- reg state, derived_clk;
- reg [4:0] counter;
- // 有限状态机,用于实现下采样
- always @(negedge clk) begin: FSM_DECIMATOR
- case(state)
- STATE_HOLD: begin
- if(counter == 31)
- state <= STATE_SAMPLE;
- end
- STATE_SAMPLE: begin
- ComReg0[0] <= IntReg[2];
- state <= STATE_HOLD;
- end
- default:
- state <= STATE_HOLD;
- endcase
- if((counter>8)&&(counter<16)) // 生成下采样后的时钟
- derived_clk <= 1;
- else
- derived_clk <= 0;
- counter <= counter + 1;
- end
- wire [25:0] sxtx; // Sign extended input
- assign sxtx = {{18{x[7]}},x}; // 符号扩展
- reg [7:0] x; // Registered input
- reg [25:0] IntReg[2:0]; // I section 0,1 and 2
- // 积分器实现模块
- always @(posedge clk) begin: INTEGRATOR
- x <= x_in;
- IntReg[0] <= IntReg[0] + sxtx;
- IntReg[1] <= IntReg[1] + IntReg[0];
- IntReg[2] <= IntReg[2] + IntReg[1];
- end
- reg [25:0] ComReg0[2:0],ComReg1[2:0],ComReg2[2:0],ComReg3;
- //梳状器实现模块
- always @(posedge derived_clk)begin:COMB
- ComReg0[1] <= ComReg0[0];
- ComReg0[2] <= ComReg0[1];
- ComReg1[0] <= ComReg0[0] - ComReg0[2];
- ComReg1[1] <= ComReg1[0];
- ComReg1[2] <= ComReg1[1];
- ComReg2[0] <= ComReg1[0] - ComReg1[2];
- ComReg2[1] <= ComReg2[0];
- ComReg2[2] <= ComReg2[1];
- ComReg3 <= ComReg2[0] - ComReg2[2];
- end
- assign y_out = ComReg3; //输出
- endmodule
CIC 抽取滤波器 Verilog Code的更多相关文章
- matlab 与 modelsim 联调 cic抽取滤波器
注:本设计的参数为:D=2,R=5,N=3:时钟频率为50mhz,输入信号为有符号8位,根据公式bmax=bin+N*log(2,R*D):可以得到bmax=18: 1,cic抽取滤波器原理 网上资料 ...
- Verilog code
1.计数,用于对精度不高的计数 always @(posedge clk or negedge rst_n) begin if(!rst_n) div_cnt <= 'd0; else div_ ...
- 直流滤波器 verilog
// dc filter- y(n) = c*x(n) + (1-c)*y(n-1) `timescale 1ps/1ps module ad_dcfilter #( // data path dis ...
- Verilog Tips and Interview Questions
Verilog Interiew Quetions Collection : What is the difference between $display and $monitor and $wr ...
- verilog流水线加法器
四位加法器 两级加法实现 verilog code module pipeliningadder( output reg [3:0] s, output reg co, input [3:0] a, ...
- verilog 实现加法器
半加器 如果不考虑来自低位的进位将两个1二进制数相加,称为半加. 实现半加运算的逻辑电路称为半加器. 真值表 逻辑表达式和 \begin{align}\notag s = a{b}' + {a}'b ...
- verilog FAQ(zz)
1. What is the race condition in verilog? Ans :The situation when two expressions are allowed to exe ...
- ∑–△型模数转换器(ADC)简介
∑–△型模数转换器(ADC) 1.概述 近年来,随着超大规模集成电路制造水平的提高,Σ-Δ型模数转换器正以其分辨率高.线性度好.成本低等特点得到越来越广泛的应用.Σ-Δ型模数转换器方案早在20世纪60 ...
- i.MX RT600之DMIC外设介绍及应用
恩智浦的i.MX RT600是跨界处理器产品,同样也是i.MX RTxxx系列的开山之作.不同于i.MX RT1xxx系列单片机,i.MX RT600 采用了双核架构,将新一代Cortex-M33内核 ...
随机推荐
- Android在发送带有附件的邮件
准备好工作了-下载最新的版本号JMail https://java.net/projects/javamail/pages/Home#Download_JavaMail_1.5.2_Release h ...
- The example program of C on point
计划一: #include<stdio.h> #define N_VALUES 5 int main( void ) { float values[N_VALUES]; float *vp ...
- Javascript 进阶 作用域 作用域链
转载请标明出处:http://blog.csdn.net/lmj623565791/article/details/25076713 一直觉得Js很强大,由于长期不写js代码,最近刚好温故温故. 1. ...
- error C2248: “CObject::operator =”: 不可访问 private 员(于“CObject”类声明)
MFC如果编码错误: 演出:error C2248: "CObject::operator =": 不可访问 private 员(于"CObject"类声明) ...
- FTP文件操作之创建目录
前面几篇博客讲的都是对文件的操作,今天跟大家说一说对目录的操作,先让我们从创建目录开始说起吧. 创建目录很简单,首先创建一个ftp对象,然后将参数传进去,接着告诉ftp对象需要执行什么操作即可. 下面 ...
- android存储阵列数据SharedPreferences
假设要数组数据(如boolean[] .int[]等)到SharedPreferences时,我们能够先将数组数据组织成json数据存储到SharedPreferences,读取时则对json数据进行 ...
- Linux下一个OTL 采用long long类型数据库支持BIGINT
码如下面: #define OTL_BIGINT long long #define OTL_STR_TO_BIGINT(str,n) \ { \ n=atoll(str); \ } #define ...
- jQuery 操作 input 之 checkbox
jQuery 操作 input 之 checkbox 一片 HTML 清单: <input type="checkbox" name="hobby" va ...
- SSH2.0编程 ssh协议过程实现(转)
SSh协议: 全称为Secure Shell,即很安全的shell,主要目的是用来取代传统的telnet和r系列命令(rlogin,rsh,rexec等)远程登录和远程执行命令的工具,实现远程登录和远 ...
- hdu3572 任务分配/最大流量推论全流
意甲冠军:将n分配的任务m机.到的每个任务需要的天数(如果没有持续的日常),并能做到在哪些天任务.询问是否有计划. 典型的任务(X)----日(Y)一半的最大流量,(因为这个任务是天之间的关系)处理器 ...