`timescale 1ns/1ps
module adc_dis(
clk ,
rst_n ,
sm_seg ,
sm_bit
); input clk;//50HZ
input rst_n;
output[:] sm_seg;//段选
output[:] sm_bit;//位选 reg [:] sm_seg;
reg [:] sm_bit;
reg [:] count;
reg [:] count2;
reg [:] i;//数码管位数
reg clk1, clk2;
reg [:]ge,shi,bai,qian,wan,swan;
reg [:] ge_reg,shi_reg,bai_reg,qian_reg,wan_reg,swan_reg; parameter//共阳极
led_GYA0 = 'hc0, //''
led_GYA1 = 'hf9, //''
led_GYA2 = 'ha4, //''
led_GYA3 = 'hb0, //''
led_GYA4 = 'h99, //''
led_GYA5 = 'h92, //''
led_GYA6 = 'h82, //''
led_GYA7 = 'hf8, //''
led_GYA8 = 'h80, //''
led_GYA9 = 'h90; //'' /****************分频1S*****************/
always@(posedge clk or negedge rst_n) begin
if(!rst_n) begin //同步复位
clk2 <= 'b0;
count2 <= 'b0;
end
else if(count2 == 'd24999999) begin //高低电平转换 5*10^7*20ns=10^9ns=1s
clk2 <= ~clk2;
count2 <= 'b0;
end
else begin
count2 <= count2 + 'b1; //计数
end
end /******************计数*********************/
always@(posedge clk2 or negedge rst_n) begin//个位处理
if(!rst_n) begin
ge <= 'b0000;
shi <= 'b0000;
bai <= 'b0000;
qian <= 'b0000;
wan <= 'b0000;
swan <= 'b0000;
end
else if(ge == 'b1010) begin //个位等于10
ge = 'b0000;
shi = shi + 'b1;
if(shi == 'b1010) begin//十位等于10
shi = 'b0000;
bai = bai + 'b1;
if(bai == 'b1010) begin//百位等于10
bai = 'b0000;
qian = qian + 'b1;
if(qian == 'b1010) begin//千位等于10
qian = 'b0000;
wan = wan + 'b1;
if(wan == 'b1010) begin//万位等于10
wan = 'b0000;
swan = swan + 'b1;
if(swan == 'b1010) begin//十万位等于10
ge <= 'b0000;
shi <= 'b0000;
bai <= 'b0000;
qian <= 'b0000;
wan <= 'b0000;
swan <= 'b0000;
end
end
end
end
end
end
else begin
ge <= ge + 'b1;
end end /***************编码*******************/
always@(posedge clk2 or negedge rst_n) begin
//case(ge)
// 4'b0000: ge_reg <= led_GYA0;
// 4'b0001: ge_reg <= led_GYA1;
// 4'b0010: ge_reg <= led_GYA2;
// 4'b0011: ge_reg <= led_GYA3;
// 4'b0100: ge_reg <= led_GYA4;
// 4'b0101: ge_reg <= led_GYA5;
// 4'b0110: ge_reg <= led_GYA6;
// 4'b0111: ge_reg <= led_GYA7;
// 4'b1000: ge_reg <= led_GYA8;
// 4'b1001: ge_reg <= led_GYA9;
// default: ge_reg <= led_GYA0;
//endcase
//
//case(shi)
// 4'b0000: shi_reg <= led_GYA0;
// 4'b0001: shi_reg <= led_GYA1;
// 4'b0010: shi_reg <= led_GYA2;
// 4'b0011: shi_reg <= led_GYA3;
// 4'b0100: shi_reg <= led_GYA4;
// 4'b0101: shi_reg <= led_GYA5;
// 4'b0110: shi_reg <= led_GYA6;
// 4'b0111: shi_reg <= led_GYA7;
// 4'b1000: shi_reg <= led_GYA8;
// 4'b1001: shi_reg <= led_GYA9;
// default: shi_reg <= led_GYA0;
//endcase
//
//case(bai)
// 4'b0000: bai_reg <= led_GYA0;
// 4'b0001: bai_reg <= led_GYA1;
// 4'b0010: bai_reg <= led_GYA2;
// 4'b0011: bai_reg <= led_GYA3;
// 4'b0100: bai_reg <= led_GYA4;
// 4'b0101: bai_reg <= led_GYA5;
// 4'b0110: bai_reg <= led_GYA6;
// 4'b0111: bai_reg <= led_GYA7;
// 4'b1000: bai_reg <= led_GYA8;
// 4'b1001: bai_reg <= led_GYA9;
// default: bai_reg <= led_GYA0;
//endcase
//
//case(qian)
// 4'b0000: qian_reg <= led_GYA0;
// 4'b0001: qian_reg <= led_GYA1;
// 4'b0010: qian_reg <= led_GYA2;
// 4'b0011: qian_reg <= led_GYA3;
// 4'b0100: qian_reg <= led_GYA4;
// 4'b0101: qian_reg <= led_GYA5;
// 4'b0110: qian_reg <= led_GYA6;
// 4'b0111: qian_reg <= led_GYA7;
// 4'b1000: qian_reg <= led_GYA8;
// 4'b1001: qian_reg <= led_GYA9;
// default: qian_reg <= led_GYA0;
//endcase
//
//case(wan)
// 4'b0000: wan_reg <= led_GYA0;
// 4'b0001: wan_reg <= led_GYA1;
// 4'b0010: wan_reg <= led_GYA2;
// 4'b0011: wan_reg <= led_GYA3;
// 4'b0100: wan_reg <= led_GYA4;
// 4'b0101: wan_reg <= led_GYA5;
// 4'b0110: wan_reg <= led_GYA6;
// 4'b0111: wan_reg <= led_GYA7;
// 4'b1000: wan_reg <= led_GYA8;
// 4'b1001: wan_reg <= led_GYA9;
// default: wan_reg <= led_GYA0;
//endcase
//
//case(swan)
// 4'b0000: swan_reg <= led_GYA0;
// 4'b0001: swan_reg <= led_GYA1;
// 4'b0010: swan_reg <= led_GYA2;
// 4'b0011: swan_reg <= led_GYA3;
// 4'b0100: swan_reg <= led_GYA4;
// 4'b0101: swan_reg <= led_GYA5;
// 4'b0110: swan_reg <= led_GYA6;
// 4'b0111: swan_reg <= led_GYA7;
// 4'b1000: swan_reg <= led_GYA8;
// 4'b1001: swan_reg <= led_GYA9;
// default: swan_reg <= led_GYA0;
//endcase
BIANMA(ge, ge_reg);
BIANMA(shi, shi_reg);
BIANMA(bai, bai_reg);
BIANMA(qian, qian_reg);
BIANMA(wan, wan_reg);
BIANMA(swan, swan_reg); end /****************分频1MS*****************/
always@(posedge clk or negedge rst_n) begin
if(!rst_n) begin //同步复位
clk1 <= 'b0;
count <= 'b0;
end
else if(count == 'd24999)begin //高低电平转换 25000*20=500000ns=0.5ms
clk1 <= ~clk1;
count <= 'b0;
end
else begin
count <= count + 'b1; //计数
end
end /************数码管位数循环****************/
always@(posedge clk1 or negedge rst_n) begin if(!rst_n) begin //同步复位
i <= 'b0000;
end
else if(i == 'b0101) begin
i <= 'b0000;
end
212 else begin
i <= i + 'b1;
end
end /************数码管显示****************/
always@(posedge clk1 or negedge rst_n) begin
case(i)
'b0000: begin sm_seg <= ge_reg; sm_bit <= 6'b01_1111; end
'b0001: begin sm_seg <= shi_reg; sm_bit <= 6'b10_1111; end
'b0010: begin sm_seg <= bai_reg; sm_bit <= 6'b11_0111; end
'b0011: begin sm_seg <= qian_reg; sm_bit <= 6'b11_1011; end
'b0100: begin sm_seg <= wan_reg; sm_bit <= 6'b11_1101; end
'b0101: begin sm_seg <= swan_reg; sm_bit <= 6'b11_1110; end
default: begin sm_seg <= led_GYA0; sm_bit <= 'b11_1111; end
endcase
end task BIANMA;
input[:] wei;//哪个位
output[:] wei_reg; //这个位上此时的数据
reg [:] wei_reg;
begin
case(wei)
'b0000: wei_reg <= led_GYA0;
'b0001: wei_reg <= led_GYA1;
'b0010: wei_reg <= led_GYA2;
'b0011: wei_reg <= led_GYA3;
'b0100: wei_reg <= led_GYA4;
'b0101: wei_reg <= led_GYA5;
'b0110: wei_reg <= led_GYA6;
'b0111: wei_reg <= led_GYA7;
'b1000: wei_reg <= led_GYA8;
'b1001: wei_reg <= led_GYA9;
default: wei_reg <= led_GYA0;
endcase
end
endtask endmodule

FPGA自计数六位共阳极数码管动态显示2(调用task的方法)的更多相关文章

  1. FPGA六位共阳极数码管动态显示

    `timescale 1ns/1ps module adc_dis( clk , rst_n , sm_seg , sm_bit ); input clk;//50HZ input rst_n; :] ...

  2. 共阳极RGB LED二极管

    1)RGB LED二极管有四个引脚,它把3个普通led被封装在其内部,这三个led颜色分别为红.绿.蓝三种颜色,通过控制各个LED的亮度,你可以混合出几乎任何你想要的颜色,如下图: 2)RGB LED ...

  3. 数码管显示“0~F”的共阳共阴数码管编码表

    嵌入式设备中数码管显示“0~F”的方式是:定义了一个数组,里面含有16个元素,分别代表0~F,这样可以方便以后的调用.共阳极数码管编码表:unsigned char table[]={0xc0,0xf ...

  4. [51单片机] 以从0开始做4位8段共阴数码管3461AS驱动谈细节决定高质量DIY

    目录 1)问题产生 2)失败尝试 3)最终方案 4)使用方法 5)知识共享 1)问题产生 在上一篇“以PWM控制直流电机为例建一个简单的51工程框架”中已向大家介绍了一个封装好的8位8段数码管的驱动( ...

  5. 80C51 数码管动态显示0~7

    所使用的开发板 普中科技HC6800-ES V2.0 PC:win7 64位 编译软件: keil uversion2 烧写工具: 普中科技开发的PZ-ISP V1.82 烧写方式:热烧写 #incl ...

  6. 数码管动态显示Verilog实现(参考小梅哥教程)(视觉暂留)

    一个数码管有九个引脚,控制八段二极管的亮灭,用以显示需要的数字. 当有N个数码管时,一个一个控制的话需要N x 9 个引脚,消耗资源较多. 因此可以利用动态显示的方案通过人眼的视觉暂留特性达到静态显示 ...

  7. 计数排序 + 线段树优化 --- Codeforces 558E : A Simple Task

    E. A Simple Task Problem's Link: http://codeforces.com/problemset/problem/558/E Mean: 给定一个字符串,有q次操作, ...

  8. 【FPGA】always (*) 后代码全部显示注释字体的颜色之解决方法

    2015年08月26日 09:44:05 风雨也无晴 阅读数:1289    版权声明:本文为博主原创文章,未经博主允许不得转载. https://blog.csdn.net/scottly1/art ...

  9. 数码管动态显示——74HC04

    1.电路设计: p0实现段选,p2实现位选,74hc04是反相器,有反向和放大的双重作用. 2.程序设计: #include<reg52.h> code unsigned char sev ...

随机推荐

  1. 食物链 POJ - 1182 (并查集的两种写法)

    这是一个非常经典的带权并查集,有两种写法. 1 边权并查集 规定一下,当x和y这条边的权值为0时,表示x和y是同类,当为1时,表示x吃y,当为2时,表示x被y吃. 一共有三种状态,如图,当A吃B,B吃 ...

  2. 数据类型、运算符、Scanner的使用

              一.常见的基本数据类型      数值型  byte(最小,2字节)      short(4字节) int (默认 8字节)    long(16字节)      浮点型   f ...

  3. [YII2] 展示页面显示图片 以及手机号隐藏为*和姓名隐藏姓为*,

  4. SpringMVC视图解析中的 forward: 与 redirect: 前缀

    在 SpringMVC 中,可以指定画面的跳转方式.使用 forward: 前缀实现请求转发跳转,使用 redirect: 前缀实现重定向跳转.有前缀的转发和重定向操作和配置的视图解析器没有关系,视图 ...

  5. windows下部署.netcore+docker系列二 (unbuntu 18.4 下 安装 docker)亲测!!!

    1.卸载sudo apt-get remove docker docker-engine docker.io containerd runc2.更新sudo apt-get update3.安装依赖包 ...

  6. [Python进阶].pyc的那点事

    1. 什么是 .pyc文件 .pyc文件 就是 Python的字节码(byte-compiled)文件..py文件运行时,python会自动将其编译成PyCodeObject并写入.pyc文件,再有p ...

  7. python入门学习之Python爬取最新笔趣阁小说

    Python爬取新笔趣阁小说,并保存到TXT文件中      我写的这篇文章,是利用Python爬取小说编写的程序,这是我学习Python爬虫当中自己独立写的第一个程序,中途也遇到了一些困难,但是最后 ...

  8. MySQL系列(三)

    本章内容: 视图.增/删/改/查 触发器.增/删/改/查 存储过程.增/删/改/查 存储过程三种传参,pymysql 怎么用? 函数.增/删/改/查/return值 内置函数 事务 1.1视图 视图是 ...

  9. java 之 jsp简介

    什么是jsp? JSP全称Java Server Pages,是一种动态网页开发技术.它使用JSP标签在HTML网页中插入Java代码.标签通常以<%开头以%>结束. JSP是一种Java ...

  10. Burnside&Polya总结

    这里就算是一个小总结吧- 附参考的网址: http://blog.sina.com.cn/s/blog_6a46cc3f0100s2qf.html http://www.cnblogs.com/han ...