ncsim仿真VHDL

1、文件列表

ctrl.vhd

design_io.vhd

tb.vhd

compile.nc

simulate.nc

./shm/shmtb.tcl

2、 Compile你的VHDL设计文件[compile.nc]

 #!/bin/csh -f
#---------------------------------------------------------------------- # ------------------------------------------------------------------- #
# Directories location
# ------------------------------------------------------------------- # setenv src_dir ../src
setenv tb_dir ./
setenv work_dir ./lib # ------------------------------------------------------------------- #
# Library creation
# ------------------------------------------------------------------- # setenv CDS_VHDL /user/EDA_Tools/Cadence/IUS_11.10_lnx86/tools.lnx86/inca echo "softinclude $CDS_VHDL/files/cds.lib" > cds.lib
echo "define work ./lib" >> cds.lib
echo " " > hdl.var
mkdir -p $work_dir # Compile Key Expander
#
ncvhdl -v93 -work work $src_dir/ctrl.vhd #
# Compile Testbench
#
ncvhdl -v93 -work work $tb_dir/design_io.vhd
ncvhdl -v93 -work work $tb_dir/tb.vhd

compile.nc

3、simulate生成波形[simulate.nc]

 #!/bin/csh -f
#----------------------------------------------------------------------
# ------------------------------------------------------------------- #
# Elaborating the Design
# ------------------------------------------------------------------- # ncelab -work work work.tb:beh -access wrc # ******************************************************************* #
# ------------------------------------------------------------------- #
# Simulating the Design
# ------------------------------------------------------------------- # ncsim tb +acssce+rwc -MESSAGES -input ./shm/shmtb.tcl

simulate.nc

4、Tcl脚本文件控制生成波形[./shm/shmtb.tcl]

 #生成VCD文件
database -open ./waves/tbvcdwaves -vcd -default
probe -create beh -depth all -all -vcd -database ./waves/tbvcdwaves #生成shm文件
database -open ./waves/tbwaves -shm -default
probe -create beh -depth all -all -shm -database ./waves/tbwaves
run
exit

shmtb.tcl

5、 运行脚本run[run]

注意将相关文件权限更新为可执行

 ./compile.nc
./simulate.nc

run

6、使用simvison查看波形

simvision ./waves/tbwaves.shm/tbwaves.trn &

ncsim仿真VHDL的更多相关文章

  1. vsim仿真VHDL输出fsdb格式文件

    vsim(modelsim)仿真VHDL输出fsdb格式文件 1.Dump准备 (1) 将下列设置放到顶层testbench tb.vhd文件中[注意放置的位置:关系如图] library novas ...

  2. 怎样使用Debussy+ModelSim快速查看前仿真波形

    引子:ModelSim是HDL仿真软件,Debussy是波形查看软件:搭配使用,相当爽.此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此.两款软件的功能都很强大,请自行研究. 注:本篇博文的软 ...

  3. 在VCS仿真器中使用FSDB[转载]

    来源:https://www.cnblogs.com/catannie/p/8099331.html FSDB(Fast Signal Database)是Verdi支持的文件格式,用于保存仿真产生的 ...

  4. 在VCS仿真器中使用FSDB

    FSDB(Fast Signal Database)是Verdi支持的文件格式,用于保存仿真产生的信号波形.据Verdi文档说明,FSDB比标准的VCD格式节省磁盘空间,处理速度更快.要用VCS仿真器 ...

  5. Qucs初步使用指南(不是multism)

    众所周知,Multism是一款强大的电路仿真软件,学习电子电路的同学都会接触到. 但是,这软件不支持Linux.(这就很魂淡了啊) 我的主力机是Linux,不能进行电路仿真成了学习的最大障碍. 使用w ...

  6. VHDL学习之TEXTIO在仿真中的应用

    TEXTIO 在VHDL 仿真与磁盘文件之间架起了桥梁,使用文本文件扩展VHDL 的仿真功能.本文介绍TEXTIO 程序包,以一个加法器实例说明TEXTIO 的使用方法,最后使用ModelSim 对设 ...

  7. VHDL TestBench 测试终止时自动结束仿真——assert方法

    可在结束仿真位置添加如下代码: assert false report "Simulation is finished!" severity Failure; 则在Modelsim ...

  8. 基于BASYS2的VHDL程序与仿真——50%占空比8分频器

    转帖请注明转自http://www.cnblogs.com/connorzx/p/3547673.html 一.新建工程 1.点击File->New Project, 2.点击Next 注:此处 ...

  9. lattice 与 modelsim 仿真 笔记

    对于 lattice  Diamond 与 modelsim 的联合仿真,我总结了一句话,那就是—— 难者不会,会者不难.  也许刚开始 觉得 摸不着 头脑,但是 一旦学会 感觉还是很简单和直观的. ...

随机推荐

  1. rpm软件包类型

    rpm软件包 在linux世界里有两种流行的包管理方式,分别是redhat系的rpm和debian系的deb.其中rpm是RedHat Package Manager(RedHat软件包管理工具)的简 ...

  2. C#_Socket网络编程实现的简单局域网内即时聊天,发送文件,抖动窗口。

    最近接触了C#Socket网络编程,试着做了试试(*^__^*) 实现多个客户端和服务端互相发送消息 发送文件抖动窗口功能 服务端: using System; using System.Collec ...

  3. WPF 制作圆角按钮

    在程序对应坐置插入以下代码,或是先拖一个按钮控件到窗体中,再替换对应的代码. 修改 CornerRadius="18,3,18,3"  就可以改变圆角大小 按钮效果: <Bu ...

  4. tmux environment keep

    Shell 下 tmux 不能保持 PATH 变量,解决方法如下: 添加如下配置信息到 ~/.tmux.conf 中即可. set-option -ga update-environment PATH ...

  5. (转)介绍几个C#正则表达式工具

    推荐三个C#正则表达式工具,理由如下 第一个C#正则表达式工具,REGEX 这个C#正则表达式工具优点是中文的,提供了一些示例 第二个C#正则表达式工具,REGEXBUDDY 这是一个真正专业的REG ...

  6. 【Linux常用命令(更新)】

    1.ifconfig:查看当前ip,网卡信息 2.df -h:查看文件系统的使用情况,挂载点信息 3.du -sh  /var:查看/var文件夹大小 4.netstat -a:查看网络联机状态 5. ...

  7. 【nodejs学习】1.文件操作

    1.小文件拷贝,使用nodejs内置模块 var fs = require('fs'); function copy(src, dst){ fs.writeFileSync(dst, fs.readF ...

  8. oracle nvl()函数在使用中出现的问题

    看一条sql select q.*, r.goods_name from (select nvl(t.goods_code, s.goods_code) goods_code, t.buy_open_ ...

  9. 总结:spring 的ioc 知识点

    1. spring ioc的优点    解耦,对象的创建不直接在代码里生成(不方便修改) ,交由容器创建.... 2.IOC 和 DI 的区别        依赖关系:A类 里 要调用B类  如 se ...

  10. phpmyadmin自增字段

    自增字段必须为primary key 2种方法: 1- ALTER TABLE `qr_role` CHANGE `ROLE_ID` `ROLE_ID` INT(11) NOT NULL AUTO_I ...