ncsim仿真VHDL

1、文件列表

ctrl.vhd

design_io.vhd

tb.vhd

compile.nc

simulate.nc

./shm/shmtb.tcl

2、 Compile你的VHDL设计文件[compile.nc]

 #!/bin/csh -f
#---------------------------------------------------------------------- # ------------------------------------------------------------------- #
# Directories location
# ------------------------------------------------------------------- # setenv src_dir ../src
setenv tb_dir ./
setenv work_dir ./lib # ------------------------------------------------------------------- #
# Library creation
# ------------------------------------------------------------------- # setenv CDS_VHDL /user/EDA_Tools/Cadence/IUS_11.10_lnx86/tools.lnx86/inca echo "softinclude $CDS_VHDL/files/cds.lib" > cds.lib
echo "define work ./lib" >> cds.lib
echo " " > hdl.var
mkdir -p $work_dir # Compile Key Expander
#
ncvhdl -v93 -work work $src_dir/ctrl.vhd #
# Compile Testbench
#
ncvhdl -v93 -work work $tb_dir/design_io.vhd
ncvhdl -v93 -work work $tb_dir/tb.vhd

compile.nc

3、simulate生成波形[simulate.nc]

 #!/bin/csh -f
#----------------------------------------------------------------------
# ------------------------------------------------------------------- #
# Elaborating the Design
# ------------------------------------------------------------------- # ncelab -work work work.tb:beh -access wrc # ******************************************************************* #
# ------------------------------------------------------------------- #
# Simulating the Design
# ------------------------------------------------------------------- # ncsim tb +acssce+rwc -MESSAGES -input ./shm/shmtb.tcl

simulate.nc

4、Tcl脚本文件控制生成波形[./shm/shmtb.tcl]

 #生成VCD文件
database -open ./waves/tbvcdwaves -vcd -default
probe -create beh -depth all -all -vcd -database ./waves/tbvcdwaves #生成shm文件
database -open ./waves/tbwaves -shm -default
probe -create beh -depth all -all -shm -database ./waves/tbwaves
run
exit

shmtb.tcl

5、 运行脚本run[run]

注意将相关文件权限更新为可执行

 ./compile.nc
./simulate.nc

run

6、使用simvison查看波形

simvision ./waves/tbwaves.shm/tbwaves.trn &

ncsim仿真VHDL的更多相关文章

  1. vsim仿真VHDL输出fsdb格式文件

    vsim(modelsim)仿真VHDL输出fsdb格式文件 1.Dump准备 (1) 将下列设置放到顶层testbench tb.vhd文件中[注意放置的位置:关系如图] library novas ...

  2. 怎样使用Debussy+ModelSim快速查看前仿真波形

    引子:ModelSim是HDL仿真软件,Debussy是波形查看软件:搭配使用,相当爽.此处所谓快速查看前仿真波形仅为抛砖引玉,大家不要拘泥于此.两款软件的功能都很强大,请自行研究. 注:本篇博文的软 ...

  3. 在VCS仿真器中使用FSDB[转载]

    来源:https://www.cnblogs.com/catannie/p/8099331.html FSDB(Fast Signal Database)是Verdi支持的文件格式,用于保存仿真产生的 ...

  4. 在VCS仿真器中使用FSDB

    FSDB(Fast Signal Database)是Verdi支持的文件格式,用于保存仿真产生的信号波形.据Verdi文档说明,FSDB比标准的VCD格式节省磁盘空间,处理速度更快.要用VCS仿真器 ...

  5. Qucs初步使用指南(不是multism)

    众所周知,Multism是一款强大的电路仿真软件,学习电子电路的同学都会接触到. 但是,这软件不支持Linux.(这就很魂淡了啊) 我的主力机是Linux,不能进行电路仿真成了学习的最大障碍. 使用w ...

  6. VHDL学习之TEXTIO在仿真中的应用

    TEXTIO 在VHDL 仿真与磁盘文件之间架起了桥梁,使用文本文件扩展VHDL 的仿真功能.本文介绍TEXTIO 程序包,以一个加法器实例说明TEXTIO 的使用方法,最后使用ModelSim 对设 ...

  7. VHDL TestBench 测试终止时自动结束仿真——assert方法

    可在结束仿真位置添加如下代码: assert false report "Simulation is finished!" severity Failure; 则在Modelsim ...

  8. 基于BASYS2的VHDL程序与仿真——50%占空比8分频器

    转帖请注明转自http://www.cnblogs.com/connorzx/p/3547673.html 一.新建工程 1.点击File->New Project, 2.点击Next 注:此处 ...

  9. lattice 与 modelsim 仿真 笔记

    对于 lattice  Diamond 与 modelsim 的联合仿真,我总结了一句话,那就是—— 难者不会,会者不难.  也许刚开始 觉得 摸不着 头脑,但是 一旦学会 感觉还是很简单和直观的. ...

随机推荐

  1. DataGrid( 数据表格) 组件[1]

    本节课重点了解 EasyUI 中 DataGrid(数据表格)组件的使用方法,这个组件依赖于Panel(面板).Resizeable(调整大小).LinkButton(按钮).Pageination( ...

  2. 关于this的指向问题

    一个关于this指向而引发的血案... 在测试this指向的程序中,我写错了id对象,结果呢,居然也有效果,这真是超于我意料之外太多了,我以为自己写错了,结果一样可以用....... <div ...

  3. SQL从入门到基础 - 07 抑制重复数据

    一.去掉数据重复 distinct 1. Select FDepartment from T_Employee →select distinct FDepartment from T_Employee ...

  4. ORA-02447: cannot defer a constraint that is not deferrable

    一个constraint如果被定义成deferrable那么这个constraints可以在deferred和imediate两种状态相互转换. deferred只在transaction中有效,也就 ...

  5. java下管道流 PipedOutputStream 与PipedInputStream

    package cn.stat.p2.demo; import java.io.IOException; import java.io.PipedInputStream; import java.io ...

  6. OpenSceneGraph FAQ

    转自http://www.cnblogs.com/indif/archive/2011/04/22/2024805.html 1.地球背面的一个点,计算它在屏幕上的坐标,能得到吗? 不是被挡住了吗? ...

  7. Microsoft Visual C++ 2005 SP1 Redistributable 安装错误

    1.在安装Microsoft Visual C++ 2005 SP1 Redistributable时报错:Command line option syntax error.Type Command ...

  8. [Mugeda HTML5技术教程之7]添加动画

    前一节我们讲述了怎么在新建的作品中添加元素,元素加好以后我们还想让他们动起来,来实现比较炫的效果.这节我们将要讲述怎么给元素添加动画.Mugeda动画是通过时间轴和帧来实现的.通过在时间轴上创建图层和 ...

  9. Kafka笔记--常用指令(删除topic)

    删除topic 首先需要设置server.properties,最后一行添加 delete.topic.enable=true 然后运行> ./kafka-topics.sh --zookeep ...

  10. .NET知识点总结二(笔记整合)

    19.什么是类型? 用来定义某一种数据在内存里开辟空间的大小,还可以预置操作此种类型数据的相关方法 20.this关键字在方法中使用时所代表的含义 this指的是当前类的对象,或者父类的类的对象(ba ...