逻辑综合简介

  • 逻辑综合:代码转变为网表
  • FPGA:代码转变为FPGA内部的数字单元
  • 在进行综合的时候往往会使用一些脚本工具
  • 需要学会看综合之后的报告

1.目标

  • 进行综合需要读入RTL设计,还需要用到fab提供的工艺库(综合库)
  • RTL -- 书写完成后,输入到DC,复杂的RTL设计,通常是层次化设计
  • 综合库 -- 使用的工艺库,比如台积电5nm工艺,设计约束和技术文件
  • 设计约束 - 时钟频率等
  • RTL写完之后,就要解决掉RTL中的语法错误
  • 了解命令,掌握分析报告的方法

2.必备知识

  • 门级 -- 将RTL转变为门级电路(或门\寄存器\与门\与非门)实现的网表

  • clock skew - 时钟到达不同寄存器的时间不同,需要插入buffer使得时钟到达不同寄存器的时间相同

综合是什么?

  • idea -- 需求 -- 架构 -- 微架构设计 -- RTL -- Netlist -- 版图 -- Fab -- 芯片 -- 封装测试 -- 集成到PCB上

2.1 综合逻辑

  • 第一遍综合的时候,输入的是RTL代码和一些约束,没有物理信息,综合结果可能不是很理想
  • 往往在第一遍综合之后还可能进行第二遍综合

2.2 综合流程

  • 读入RTL+工艺库
  • 添加约束
  • 进行综合

  • 转化:先将RTL代码转变为一种GTECH格式的通用网表(使用的门电路和使用的具体工艺库是不对应的)
  • 门级映射(GTECH) - 将GTECH中的网表映射成特定工艺库中的专用门电路,根据驱动能力选择门器件的大小
  • 优化逻辑 - 哪些门可以合并或者取消

3.综合示例

3.1 RTL

module (
input wire [31:0] a_in,
input wire [31:0] b_in,
input wire [0:0] c_in,
output wire [31:0] sum_out,
output wire [0:0] c_out
); assign {c_out,sum_out} = a_in + b_in + c_in; endmodule

3.2 综合过程

  1. 在命令行中输入dc_shell
dc_shell

  • 一般使用脚本的形式

    2.source 综合文件
source syn.tcl



3.产生结果

3.3 syn.tcl脚本内容







4.两种计算互连产生的RC系数的方式



  • WLM根据扇出的数量估算门电路和门电路之间的线路长度,以此来推断RC的大小,是一种概率学上的统计结果
  • 优点:方便计算
  • 缺点:计算RC > 实际RC,会有一些冗余设计,浪费一些面积;计算RC < 实际RC,网表包含欠量的缓冲门
  • 会对RC的结果进行迭代,就是多计算几次
  • 寄存器之间的延迟:standcell的延时和一些连线,对于standcell的延时,是准确的,唯一不准确的连线的延时往往是不准的,在综合的时候往往需要迭代
  • 采用拓扑的方式计算RC,拓扑模式需要读取RTL之外还需要读入物理信息 ,有物理信息支持之后,计算的RC结果往往是准确的,可以针对性的对一些路径进行优化
  • QOR -- quality of result
  • TTR -- Time to Result

  • 拓扑模式:需要布局布线之后的物理信息
  • 在企业中往往使用双程的综合方法,首先使用WLM方式进行一遍逻辑综合,后端使用WLM的结果进行一遍布局布线,将布局布线后的物理信息传递给DC,再次进行拓扑模式下的综合

4.1 双程综合方法

  • 首次综合,可以添加一些约束
  • ICC -- 进行布局布线,产生DEF文件,其中包含了一些物理信息
  • 拓扑模式下的综合:DCT,产生一个网表
  • ICC -- 再次进行布局布线

5.约束驱动综合

  • 频率约束,面积约束
  • DC优先满足时钟频率,在保证时钟的情况下,优化面积
  • delay小,performace好,面积大;delay大,performance差,面积小;
  • power/performance/area -- 三者是相互约束的

6.综合工具的启动方式



1.在命令行输入design_vision,可以打开图形化界面,查看物理信息的时候使用图形化界面

design_vision



2.dc_shell,使用的是WLM license,交互模式

# 使用WLM模式
dc_shell # 使用拓扑模式
dc_shell -topo

  1. 使用bash模式,长用于使用稳定脚本,查看报告,在公司中经常使用bash模式
dc_shell -f syn.tcl | tee -i run.log

7.Unix\Linux系统DC-Shell命令

dc_shell



  • dc_shell 中执行linux命令,前面加sh
  • 执行上一步操作
1.上下箭头可以选择命令
2.!!--执行上一步操作
3.!+上一步开头的命令
sh gvim test.v !sh -- 可以执行上述命令
!s -- 也可以执行上一步命令
4.history -- 查看历史命令,通过!+数字选择执行第几条命令



  • .ddc文件包含网表和约束sdc文件,拿到.ddc文件可以认为是进行了综合

8.DC工具掌握

8.1 综合主要步骤

  • .db文件 -- 逻辑综合库

8.2 DC主要流程

8.3 DC命令总览

  • current_design top 设置顶层为综合对象
  • link -- 将RTL层级理顺
  • check_design -- 检查RTL代码

DC逻辑综合工具简介-Design Compiler的更多相关文章

  1. (转)Synopsys工具简介

    DC Ultra--Design Compiler的最高版本 在Synopsys软件中完整的综合方案的核心是DC UltraTM,对所有设计而言它也是最好级别的综合平台.DC Ultra添加了全面的数 ...

  2. Tcl与Design Compiler (八)——DC的逻辑综合与优化

    本文属于原创手打(有参考文献),如果有错,欢迎留言更正:此外,转载请标明出处 http://www.cnblogs.com/IClearner/  ,作者:IC_learner 对进行时序路径.工作环 ...

  3. 03-逻辑综合工具 - Design Compiler

    逻辑综合工具DC IC设计流程,市场-->制定spec-->RTL(同时进行sim,通过alint检查RTL有没有错误)-->systhesis(逻辑综合)-->PR(STA) ...

  4. Tcl与Design Compiler (二)——DC综合与Tcl语法结构概述

    1.逻辑综合的概述 synthesis = translation + logic optimization + gate mapping . DC工作流程主要分为这三步 Translation : ...

  5. Tcl与Design Compiler (五)——综合库(时序库)和DC的设计对象

    本文如果有错,欢迎留言更正:此外,转载请标明出处 http://www.cnblogs.com/IClearner/  ,作者:IC_learner 前面一直说到综合库/工艺库这些东西,现在就来讲讲讲 ...

  6. Tcl与Design Compiler (七)——环境、设计规则和面积约束

    本文属于原创手打(有参考文献),如果有错,欢迎留言更正:此外,转载请标明出处 http://www.cnblogs.com/IClearner/  ,作者:IC_learner 本文的主要内容是讲解( ...

  7. Tcl与Design Compiler (十二)——综合后处理

    本文如果有错,欢迎留言更正:此外,转载请标明出处 http://www.cnblogs.com/IClearner/  ,作者:IC_learner 概述 前面也讲了一些综合后的需要进行的一些工作,这 ...

  8. Design Compiler 综合

    综合(synthesis) = 转换(translation) + 优化(logic optimization) + 映射(gate mapping): 转换阶段将HDL语言描述的电路用门级逻辑实现. ...

  9. Tcl与Design Compiler (十一)——其他的时序约束选项(二)

    本文如果有错,欢迎留言更正:此外,转载请标明出处 http://www.cnblogs.com/IClearner/  ,作者:IC_learner 前面介绍的设计都不算很复杂,都是使用时钟的默认行为 ...

  10. Tcl与Design Compiler (十三)——Design Compliler中常用到的命令(示例)总结

    本文如果有错,欢迎留言更正:此外,转载请标明出处 http://www.cnblogs.com/IClearner/  ,作者:IC_learner 本文将描述在Design Compliler中常用 ...

随机推荐

  1. 华企盾DSC邮件白名单问题常见处理方法

    1.先检查邮件白名单服务器配置测试连接的通(不通可能是协议未开或者账号密码错误) 2.检查邮件发送端口是否配置(常见的有25和s465.s587) 3.邮件是否到发件箱或者收件箱的垃圾邮件里面了 4. ...

  2. 不用手动创建数据库,直接导入sql文件,就能生成数据库和数据表

  3. MySQL|MySQL事物以及隔离级别

    MySQL 事务主要用于处理操作量大,复杂度高的数据.比如开单,需要添加给订单表增加记录,还需要增加订单的各种相关明细,操作复杂度高,这些操作语句需要构成一个事务.在 MySQL 命令行的默认设置下, ...

  4. 虚拟化M搭建及基本操作

    虚拟化MH搭建 虚拟化概念: 虚拟机安装分为2块:RHEVM .RHEVH RHEVM:负责管理角色 RHEVH:负责运算角色 2016-09-23_0-52-54.png hypervisor: 提 ...

  5. Asp .Net Core系列:对VS 2019中ASP.NET Core项目解决:The term 'Add-Migration' is not recognized as the name of a cmdlet, function, script file, or operable program. Check the spelling of the name

    错误: Add-Migration : The term 'Add-Migration' is not recognized as the name of a cmdlet, function, sc ...

  6. 云图说丨初识华为云OrgID:轻松实现统一帐号、统一授权

    本文分享自华为云社区<[云图说]第282期 初识华为云OrgID:轻松实现统一帐号.统一授权>,作者: 阅识风云 . 组织成员帐号 OrgID是面向企业提供组织管理.企业成员帐号管理以及S ...

  7. 非暴力拆解:小熊派NB-IoT通信扩展板

    摘要:相信大家对小熊派的NB-IoT通信扩展板已经非常了解了,但你有真正的了解过其内部构造吗?今天小编不聊技术,带你做一回拆·机·客! 相信大家对小熊派的NB-IoT通信扩展板已经非常了解了,但你有真 ...

  8. Linux IPTables:如何添加防火墙规则

    摘要:本文介绍了如何使用"iptables -A"命令添加 iptables 防火墙规则. 本文分享自华为云社区<Linux IPTables:如何添加防火墙规则(使用允许 ...

  9. MRS HetuEgine的数据虚拟化实践

    摘要:华为MRS云原生数据湖平台的HetuEngine就是一款解决大数据时代跨源跨域问题的数据虚拟化引擎. 本文分享自华为云社区<基于华为云原生数据湖MRS HetuEgine的数据虚拟化实践& ...

  10. 【教程】app备案流程简单三部曲即可完成

    ​ [教程]app备案流程简单三部曲即可完成 APP备案流程包括以下步骤: 1. 开发者实名认证:在提交备案申请之前,开发者需要通过移动应用开发平台进行实名认证.这个步骤需要提供身份证号码.姓名.联系 ...