转载请标明出处

第一章 接口(interface)

1.1.    接口的概念

接口允许许多信号合成一组由一个端口表示。

1.2.    接口声明

//接口定义

Interface main_bus (input logicsig_a, sig_b);         //sig_a , sig_b是接口的输入

Wire sig_c ;

Logic sig_d;

Endinterface

//顶层网表

module top (input logic clock, resetN, test_mode);
logic [15:0] program_address, jump_address;
logic [ 7:0] instruction, next_instruction;
main_bus bus (                                         //接口实例化
.sig_a (sig_a),                                           //分立信号连接到接口实例
. sig_b (sig_b)
);

processor proc1 (                                                   //模块实例化
.bus(bus),                                                  //接口连接,

.jump_address(jump_address));          //其他接口

也可以用.name 和.* 连接

当接口定义在$unit中时,全局定义;当接口声明在模块内部时,局部定义

1.3.   
将接口用作模块端口(可综合)

l  显示命名的接口端口     module <module_name>
(<interface_name> <port_name>);

l  通用接口端口                   module <module_name> (interface
<port_name>);

显示命名的接口端口只可以连接到同一名称的接口上;而任何接口可以连在通用接口端口上

1.4.   
接口的实例化和连接

接口实例化的语法与模块实例化相同;接口类型的端口如果没有连接是非法的

接口端口可连接到另一个接口

1.5.   
接口内部信号的引用

接口内部信号可以通过端口名引用:<port_name>.<internal_interface_signal_name>

1.6.   
接口的modport

Module port——modport可以定义接口信号的不同接入方式(方向)

Modport定义中不包含向量位数和类型,这些信息是在接口的信号类型声明中定义的。

Modport声明位置:interface定义内

Modport声明只定义 连接模块将信号是看成input/output/inout还是ref

1.6.1.     
指定使用哪种modport方式(可综合)

l  在模块实例的接口连接中说明     <接口实例名>.<modport_name>

//module中已实例化接口,模块定义时端口声明用接口名称

l  在模块定义的端口声明时说明     <接口名称>.<modport_name>

// module中已实例化接口,模块实例化时端口连接用接口实例名

l  没有指定modport时接口的连接:

因为本身interface的声明是没有内部信号的方向的,又没有modport指明信号方向,因此此时内部信号中——线网信号默认为inout;变量默认为ref

1.6.2.     
使用modport定义不同的连接

在接口中定义不同的modport,模块访问某个特定modport时其他接口中的信号不可见

1.7.   
在接口中使用任务和函数(method)

接口可以包含功能描述,比如通信协议等。

SV可以在接口中声明任务和函数,

这些任务和函数可以作为接口方法(Interface methods)来引用

  • 接口方法的导入:(当从另一模块到导入任务或函数时使用方法B)(可综合)

u  使用函数和任务的名称导入modport in ( import <任务或函数的名称>
);

u  使用函数和任务的完整原型导入(形参包含方向)

modport modport_name(import task <任务名>(<任务的形式参数) );

modport modport_name(import function <函数名> (<函数的形式参数>)
);

u  导入的接口方法的调用:<接口的端口名>.<方法名>

  • 导出任务和函数(不可综合)

Modport modport_name(export
<任务或函数的名称>);

或通过在接口中声明函数或任务时使用关键词extern可以将他们导出到整个接口

Extern forkjoin允许导出任务的多重实例

1.8.   
接口中的过程块

接口可以包含always, always_comb, always_ff, always_latch, initial/final过程块, assign 语句.

接口中的过程块一般用于验证

1.9.   
可重构接口

接口可以使用参数重定义和generate语句,在接口实例化时进行重构

Systemverilog for design 笔记(七)的更多相关文章

  1. Systemverilog for design 笔记(六)

    转载请标明出处 第一章 有限状态机建模(FSM,finite state machine) 1.1.    使用枚举类型建立状态机模型 l  三过程块建模风格:三个过程块分别实现: a.状态转换(al ...

  2. Systemverilog for design 笔记(五)

    转载请标明出处 第一章 System Verilog过程块.任务和函数 1.1.    verilog通用目的always过程块(procedural block)(可综合) always过程块的综合 ...

  3. Systemverilog for design 笔记(四)

    转载请标明出处 数组.结构体和联合体 1. 结构体(struct) 1.1. 结构体声明 结构体默认是变量,也可以声明为线网 var struct { // 通过var进行结构体变量声明 logic ...

  4. Systemverilog for design 笔记(三)

    转载请标明出处 用户自定义和枚举数据类型 1. 用户自定义类型(typedef) 局部typedef定义:只用于设计的特定部分时,typedef的定义可在module或interface中 共享typ ...

  5. SystemVerilog for design 笔记(二)

    转载请标明出处 1. System Verilog文本值和数据类型 1.1. 增强的文本值赋值 相对于verilog,SV在文本值赋值时可以1.无需指定进制    2.赋值可以是逻辑1 用法: reg ...

  6. Systemverilog for design 笔记(一)

    转载请标明出处 一.     System Verilog 声明的位置 1.       包(packages) Verilog要求局部声明: variables, nets, tasks and f ...

  7. 《MFC游戏开发》笔记七 游戏特效的实现(一):背景滚动

    本系列文章由七十一雾央编写,转载请注明出处. http://blog.csdn.net/u011371356/article/details/9344721 作者:七十一雾央 新浪微博:http:// ...

  8. (转)Qt Model/View 学习笔记 (七)——Delegate类

    Qt Model/View 学习笔记 (七) Delegate  类 概念 与MVC模式不同,model/view结构没有用于与用户交互的完全独立的组件.一般来讲, view负责把数据展示 给用户,也 ...

  9. Learning ROS for Robotics Programming Second Edition学习笔记(七) indigo PCL xtion pro live

    中文译著已经出版,详情请参考:http://blog.csdn.net/ZhangRelay/article/category/6506865 Learning ROS forRobotics Pro ...

随机推荐

  1. UVA10600 ACM Contest and Blackout

    用prim算法求最小生成树和次小生成树~ #include<cstdio> #include<algorithm> #include<cstring> using ...

  2. RTU license

    Right to Use (RTU) licensing is a model in which licenses are not tied to a unique device identifier ...

  3. Codeforces Round #622 (Div. 2) C1. Skyscrapers (easy version)(简单版本暴力)

    This is an easier version of the problem. In this version n≤1000n≤1000 The outskirts of the capital ...

  4. dp(装箱)

    请小伙伴们对自己AC的题目进行标记,注意每人只能标记一次!不知道的不要标记,恶意标记者将回收账号!!! 问题 B: 装箱问题 时间限制: 1 Sec  内存限制: 128 MB[提交] [状态] 题目 ...

  5. win10中,vscode安装go插件排雷指南

    最近学习go,想着使用强大的vscode编写go,在安装go插件过程中,遇到了很多问题.下面记录解决方案. 1)win10环境,安装go,vscode,git 配置GOPATH环境变量,在我的电脑-& ...

  6. Python web在IIS上发布方法和原理

    Python web应用想要发布使用iis发布有两种方式,这篇文章就为大家介绍一下这两种方式的具体实现: 1.配置HttpPlatform程序 HttpPlatform 模块将套接字连接直接传递到独立 ...

  7. VBA 学习笔记 - 日期

    date() 返回当前的系统日期 返回格式为 YYYY/MM/DD CDate() 学习资料:https://www.yiibai.com/vba/vba_cdate_function.html 将有 ...

  8. photoshop下载(ps)

    https://pan.baidu.com/s/1bNrUod2n1VqsA7Fr9RHtsg

  9. 【代码审计】VAuditDemo 重装漏洞

    一.源码安装漏洞介绍 一般在PHP源码程序都有一个初始安装的功能,如果相关代码没有对参数进行严格过滤,可能会导致攻击者访问安装页面(install.php)或构造数据包,对网站进行重新安装,从而危害网 ...

  10. 【原】tcp三次握手和四次挥手