相信很多人会遇到过这个问题,不知如何让ISE调用Modelsim进行仿真。我也迷糊了不少时间,查查找找,终于弄明白了,所以有了本文,和大家分享一下。我尽量讲得详细点儿,多多上图。

我的环境:Windows 7 64位,Xilinx ISE Design Suite 13.4(D:\Xilinx\13.4),ModelsimSE-64 10.1a(D:\modeltech64_10.1a, 哈,也是64位的)。不过32位的和64位的设置几乎没有什么区别。先安装好ISE和Modelsim, 网上看过一些文章总说先装ISE再装Modelsim,不过我整过一阵,其实二者的顺序是无关紧要的。安装过程不在本文的讨论范围内,就不多说了,不过一定要注意版本要合适,Modelsim的版本不要太低,这个可以自己到网上查一查,还有非常重要的一点是安装路径不能有中文或空格。

由于我装的Modelsim SE是通用版的,只自带了少许的仿真库(Simulation Library),所以还要编译一下Xilinx的仿真库。只有XE才自带Xilinx的仿真库,如果装的是XE版便不必编译仿真库了。编译库可以用ISE带界面的工具,也可以用命令行下的,前者方便,先讲前者。打开编译库工具“Simulation Library Compilation Wizard”:“开始—所有程序—Xilinx ISE Design Suite 13.4(版本不同就不同)—ISE Design Tools—64-bit Tools(32位的选32-bit Tools)—Simulation Library Compilation Wizard”。注意,64位系统中默认安装了64位和32位的ISE,如果你想用32位的ISE,那你就得选32位的编译库工具,不能混着用的,而且Modelsim也得装上32位的版本,所以我建议64位系统的就用64位的ISE,而32位系统的没得选,只能用32位的。如图01-1(64位)和图01-2(32位)所示。

 图01-1

图01-2

打开工具之后,在“Select Simulator”下面选中你所装好的Modelsim版本,我这儿选“Modelsim SE”,在“Simulator Executable Location”下面填入Modelsim.exe的所在的文件夹,点“Browse…”按钮添加也行,我这儿是“D:\modeltech64_10.1a\win64”(不含双引号,下同,除非有特别的说明),如图02,然后Next。

图02

之后是选择需要编译的语言,选“Both VHDL and Verilog”,一般两种都要用,如图03,然后Next。

图03

接下来是选择设备,默认是全选,如果有一些你实在用不上就不选吧,这样可以省点时间和硬盘空间,全选时挺大条的,如图04,还是Next。

图04

下一步默认就行,全选上,下面的两行东东留空即可,那是添加额外库的,第一行是路径,第二行是命令参数,无视之。如图05,Next。

图05

这一步比较关键,在“Output directory for compiled libraries”下面填入输出已编译库的路径,默认也行。个人建议新建一个单独的文件夹,好管理,只要版本一样的,下次直接拿来用,重装也不怕。不过文件夹的名字和整个路径中绝对不能有中文或空格,切记、切记!!!我这儿是“D:\modeltech64_10.1a\Xilinx_lib”。其他的选项默认便可,之后点“Launch Compiled Process”,如图06。之后就开始编译了,如图07。其实这一页是很有用处的,详情请点下面的那个“More Info”按键,不过帮助是英文的。

图06

图07

等待……编译完后就会出现一些编译日志,图08,Next,图09,Finish。只要没有Err就成,Warn无视。若有Err,就回顾下版本对不对,路径有没有中文或空格。

图08

 

 图09

可能旧点儿的ISE版本没有带窗口化的编译工具,所以下面再说说命令行下编译仿真库的方法。打开命令行,最好以管理员的身份运行,“开始—所有程序—附件—命令提示符—点右键—以管理员身份运行”,在里面输入“set path=D:\Xilinx\13.4\ISE_DS\ISE\bin\nt64;D:\modeltech64_10.1a\win64”(还是不要双引号),32位的输入“set path=D:\Xilinx\13.4\ISE_DS\ISE\bin\nt;D:\modeltech32_10.1a\win32”,然后敲回车键,这一步是可选的,为了防止可能的问题还是要吧。这里面都是路径,按自己的安装目录对应来输入就对了。然后再输入“compxlib -s mti_se -l all -arch all -liball -w -exclude_superseded -dir D:\modeltech64_10.1a\Xilinx_lib”,再次回车就开始编译了,漫长等待。和前面编译的内容是一样的。如图09-1、图09-2和图09-3。

图09-1

 

 图09-2

图09-3

下面设置ISE和Modelsim的关联。在“D:\Xilinx\13.4\ISE_DS\ISE”文件夹中找到“modelsim.ini”,“D:\Xilinx\13.4”这个是你的ISE安装目录,后半路径是一样的,实在不行就进入安装目录然后搜索“modelsim.ini”,如图10和图11。

图10

 

 图11

打开“modelsim.ini”,用记事本打开时不要用自动换行功能,菜单中“格式—自动换行”把勾去掉。个人不建议用记事本,写字板那就更不行了,有的文件用写字板改了会出现问题,搞编程类的总得有个好点儿的文本编辑工具吧,我用的是EmEditor,到网上搜一下吧,有不少呢。在第九行左右(可能是)找到“[Library]”,下面一行的“others = $MODEL_TECH/../modelsim.ini”和行的开头的分号(;)的全部都无视。那些行开头没有分号的,格式一般是“X···X = 路径”,一直到“[vcom]”之上的都要,选的仿真库多时可有好长的一段,全部复制。见图12、图13和图14。

图12

 

 图13

图14

然后在Modelsim的安装目录下,我这儿是“D:\modeltech64_10.1a”,找到“modelsim.ini”,名字一样。先去换个文本编辑工具吧,用记事本打开它会是乱糟糟的一片,没法改。打开后,同样是找到“[Library]”,在它的下面粘贴上刚刚复制的那一大段东西,注意,行开头不要有分号(;),而且人家原有的就别动它,别删掉了。只要在“[Library]”和“[vcom]”之间粘贴就行,然后保存。如图15、图16和图17。

图15

 

 图16

 图17

打开ISE,“开始—所有程序—Xilinx ISE Design Suite 13.4(版本不同就不同)—ISE Design Tools—64-bit Project Navigator(32位的就开32-bit Project Navigator)”,图18。

图18

然后在ISE的菜单上“Edit—Preferences…”调出Preferences设置窗口,在左边的“Category”下选中“ISE General—Integrated Tools”。在Integrated Tools项设置中,右边的“Model Tech Simulator:”下面填入Modelsim.exe的文件路径,点旁边的“..”按键选中Modelsim.exe也行,我这儿是“D:\modeltech64_10.1a\win64\modelsim.exe”(不要双引号)。32位的可能是“D:\modeltech32_10.1a\win32\modelsim.exe”。如图19和图20。

图19

 

 图20

到现在已经把家伙准备好了,接下来就是使用它了。新建一个工程,图21,这步不多说,Next。

图21

接下来这一步在“Simulator”一项选“Modelsim-SE Mixed”,“Mixed”支持两语言,“SE”是版本,选你自己对应的就成,其它项不讨论,如图22,Next,Finish。忘了选或想重新选的看图23。

图22

 

 图23

新建你的VHDL或Verilog文件,这个不多说。如果是VHDL文件,则要打开两个库。在菜单上“Edit—Preferences…”调出Preferences设置窗口,选中“Category”下面的“ISE Text Editor”,之后在右边“ISE Text Editor”设置中下面的那个大白框里有四行代码,把后面两行,“use IEEE.STD_LOGIC_ARITH.ALL;”和“use IEEE.STD_LOGIC_UNSIGNED.ALL;”复制一下,然后粘贴在你的VHDL文件的库声明中,也就是打开这些库。如图24、图25和图26。

图24

 

 图25

图26

完成之后,切换到仿真模式,就是点工程上面的“Simulation”,可能不同版本会不一样,ISE9.1i 是在“Source for”的下拉菜单中选择Behavioral simulation,不过意思明白就行。建立Test Bench文件,若是VHDL的,也按上面说的处理一下,就是把那两个库声明一下。在“Hierarchy”框选中Test Bench文件,在下面的那个框中点“Modelsim Simulator”前的加号。展开得到“Simulate Behavioral Model”,在它上面点右键,选“Process Properties…”,如图27。这里如果直接选“Run”可能会出现如图28的警告,如果不出现警告而且能调用Modelsim仿真,那恭喜你成功了,不然就接着看吧。

图27

 

 图28

有图28警告时,如果前面的设置没问题,直接点“No”就可以用Modelsim仿真了。为了搞定这个警告,咱们接着整。把"D:\modeltech64_10.1a\modelsim.ini"复制到你所建的工程目录下也能搞定这个警告,不过这样太麻烦,每次都得复制,还是往下看吧。选“Process Properties…”后弹出Process Properties设置窗口,在右边的“Compiled Library Directory”后面填入之前编译库时设置的已编译库的路径,点旁边的“…”按钮来选定也行。如果编译库时使默认的路径,那这里就不用改了,不过得把下面的“Ignore Pro-Compiled Library Warning Check”给勾上,不然也可能有警告,反正我用默认路径时就是有警告,不知道为什么。其实只要你前面设置的正确了,这里直接把“Ignore Pro-Compiled Library Warning Check”给勾上,路径都不设也是可以的,搞定后点“Ok”,如图29。不过,每次新建一个工程时,这个窗口的设置都会变为默认设置,又得重设一次。我找了不少地方,查了帮助文档也没发现哪里可以修改这些默认值的。如果能改,那么下次新建工程时都变成我们自己的设置,就不用重设一遍了。如果有哪位大虾知道,希望可以告诉偶一声,留个言也成,呵呵。

图29

在“Simulate Behavioral Model”上点右键,选“Run”就可以调用Modelsim进行仿真了,如图30。另外提一点,在ISE上也可以编译库的。在仿真模式中,在“Hierarchy”框中选中FPGA名,我这儿是“xc7a8-3csg324”(下面就是仿真文件)。然后在下面的框中点“Design Utilities”前面加号。展开后得到“Compile HDL Simulation Libraries”,在其上点右键,选“Run”,这样就会编译你的工程中所需的仿真库了,如图31。不过,这样的话每次都得编译一次,工程大的话那可老耗时间了,所以我们还是预先编译好吧,省时间。……其实Modelsim也可以编译仿真库,不过在这儿就不多说了,网上也有很多文章说到的,只是我觉得用ISE自带的工具更方便。

 图30

图31

好了,所有的都搞定了,可以好好体验了。以上有什么不对的地方还望指正。我们也可以互相探讨探讨,我的QQ:503123346。

原文见:http://user.qzone.qq.com/503123346/blog/1350396664?ptlang=2052#!app=2&via=QZ.HashRefresh&pos=1334215473

ISE和Modelsim联合仿真(转)的更多相关文章

  1. ISE和Modelsim联合仿真(详细步骤讲解)

    ISE和Modelsim联合仿真(转) 地址:http://www.cnblogs.com/feitian629/archive/2013/07/13/3188192.html 相信很多人会遇到过这个 ...

  2. ise和modelsim联合仿真的一些准备

    首先要在modelsim中编译xilinx的三个库,分别是unisims库,simprims库,和corelib库,其中unisims库全称为(library of united component ...

  3. vivado2013.4和modelsim联合仿真

    vivado2013.4和modelsim联合仿真                           Hello,Panda        最近在做Zynq的项目,曾经尝试使用ISE+PlanAhe ...

  4. zedboard:使用ISE和modelsim搭建仿真环境 标签: zedboardfpgamodelsimise 2017-03-03 14:00 528人阅读

    详细步骤: 产生ISE仿真库文件 开始->所有程序->xilinx design tools->simulation library compilation wizard.路径可能不 ...

  5. Quartus ModelSim联合仿真中的RAM初始化

    Modelsim只支持Hex格式的初始化文件,文件需要放在仿真的根目录下,例如:.\simulation\modelsim:并且在利用Quartus宏生成IP时,选择的初始化文件必须用绝对路径!否则M ...

  6. Vivado 与 Modelsim 联合仿真

    1 编译库 用命令行 用vivado工具 vivado 有很多 IP核的接口 已经与 ISE的核 不太一样了,比如fir ,接口就是这样的: fir_lp fir_lp_ip(    .aclk  ( ...

  7. vivado2018.3 与 modelsim联合仿真

    我用的是目前最新版本的软件,vivado2018.3与modelsim10.6d.废话不多说,直接上操作 1.modelsim编译vivado库 1)双击启动vivado软件,如下图操作 2)Simu ...

  8. Vivado与Modelsim联合仿真

    [转载]: 1:https://blog.csdn.net/weixin_37603007/article/details/82823965 2:https://blog.csdn.net/Piece ...

  9. Viavdo&ISE&Quartus II级联Modelsim级联仿真

    博主一直致力寻找高效的工作方式,所以一直喜欢折腾软件,从刚开始只用软件IDE自带的编辑器,到Notepad++,再到后来的Vim,从用ISE14.7自带的Isim仿真,到发现更好的Modelsim,再 ...

随机推荐

  1. thread dump

    最近在做性能测试,需要对线程堆栈进行分析,在网上收集了一些资料,学习完后,将相关知识整理在一起,输出文章如下. 一.Thread Dump介绍 1.1什么是Thread Dump? Thread Du ...

  2. 如何解决MySQLAdministrator 启动报错

    运行环境:MySQL 5.1.41 win32 ZIP 非安装版MySQL GUI Tools 5.0(版本1.2.17.0) 运行MySQLAdministrator时提示:服务器服务或配置文件不能 ...

  3. FastScroll(1)ListView打开FastScroll及自定义它的样式

    打开 FastScroll 方式 android:fastScrollEnabled="true" 它是AbsListView的属性. <?xml version=" ...

  4. 新建并保存一个空的Excel

    测试用的 using System; using System.Collections.Generic; using System.ComponentModel; using System.Data; ...

  5. Java开发之多线程下载和断点续传

    代码实现了多线程下载和断点续传功能 import java.io.BufferedReader; import java.io.File; import java.io.FileInputStream ...

  6. linux 进程间消息队列通讯

    转自:http://blog.csdn.net/lifan5/article/details/7588529 http://www.cnblogs.com/kunhu/p/3608589.html 前 ...

  7. php类的实现

    zend_class_entry typedef struct _zend_class_entry zend_class_entry; struct _zend_class_entry { char ...

  8. poj3067

    求交点的个数: 容易发现,对于两条航线(xi,yi)和(xj,yj),设xi<xj 只有yi>yj时两条航线存在交点: 于是我们考虑以x为第一关键字减序,y为第二关键字为减序排序: 则对于 ...

  9. 三维软件转Unity的系统单位设置研究

    Unity的系统单位为米,其他3D软件的模型导入,而保持和Unity的比例一致是非常重要的,下面对各软件进行测试: ㈠. 3dsmax 转 Unity的比例为100:1:也就是说Unity单位是3ds ...

  10. jquery 上传空间uploadify使用笔记

    基于jquery的文件上传控件,支持ajax无刷新上传,多个文件同时上传,上传进行进度显示,删除已上传文件. 要求使用jquery1.4或以上版本,flash player 9.0.24以上. 有两个 ...