异步FIFO的verilog实现与简单验证(调试成功)
最近在写一个异步FIFO的时候,从网上找了许多资料,文章都写的相当不错,只是附在后面的代码都多多少少有些小错误。
于是自己写了一个调试成功的代码,放上来供大家参考。
非原创 原理参考下面:
原文 https://www.cnblogs.com/SYoong/p/6110328.html
上代码:
module Asyn_FIFO_tb; parameter WIDTH = ; reg clk_wr;
reg clk_rd;
reg rst_n_rd;
reg rst_n_wr; reg [WIDTH-:] data_wr;
reg wr_en;
wire wr_full; wire [WIDTH-:] data_rd;
reg rd_en;
wire rd_empty; Asyn_FIFO fifo_inst(
.clk_wr(clk_wr),
.rst_n_rd(rst_n_rd),
.rst_n_wr(rst_n_wr),
.wr_en(wr_en),
.data_wr(data_wr),
.clk_rd(clk_rd),
.rd_en(rd_en),
.data_rd(data_rd),
.rd_empty(rd_empty),
.wr_full(wr_full)
); initial begin
rst_n_rd = ;
rst_n_wr = ;
clk_wr = ;
clk_rd = ;
wr_en = ;
rd_en = ; #
rst_n_rd = ;
rst_n_wr = ; #
wr_en = ;
rd_en = ; #
wr_en = ;
rd_en = ;
end always # clk_wr = ~clk_wr;
always # clk_rd = ~clk_rd; /* always @(posedge clk_rd)
rd_en <= ($random) % 2; always @(posedge clk_wr)
wr_en <= ($random) % 2; */ always @(posedge clk_wr)
data_wr <= ($random) % ; endmodule
Asyn_FIFO_tb.v
module Asyn_FIFO
#(
parameter WIDTH = ,
parameter DEPTH =
)
(
input clk_wr,
input clk_rd,
input rst_n_rd,
input rst_n_wr,
input wr_en,
input rd_en,
input [WIDTH-:] data_wr,
output [WIDTH-:] data_rd,
output reg rd_empty,
output reg wr_full
); //defination
reg [WIDTH- : ] mem [ : (<<DEPTH)-]; //2^DEPTH numbers
reg [DEPTH : ] wp, rp;
reg [DEPTH : ] wr1_rp, wr2_rp, rd1_wp, rd2_wp;
reg [DEPTH : ] wbin, rbin; wire [DEPTH- : ] waddr, raddr;
wire [DEPTH : ] wbin_next, rbin_next; //bincode
wire [DEPTH : ] wgray_next, rgray_next; //graycode wire rd_empty_val, wr_full_val; //output
assign data_rd = (rd_en && !rd_empty) ? mem[raddr] : ; //clear "xx" state //input
always@(posedge clk_wr)
if(wr_en && !wr_full)
mem[waddr] <= data_wr; /*----------generate waddr and raddr-------------------------*/
//gen raddr and read gray code
always@(posedge clk_rd or negedge rst_n_rd)
if(!rst_n_rd)
{rbin, rp} <= ;
else
{rbin, rp} <= {rbin_next, rgray_next}; assign raddr = rbin[DEPTH- : ];
assign rbin_next = rbin + (rd_en & ~rd_empty);
assign rgray_next = rbin_next ^ (rbin_next >> ); //gen waddr and write gray code
always@(posedge clk_wr or negedge rst_n_wr)
if(!rst_n_wr)
{wbin, wp} <= ;
else
{wbin, wp} <= {wbin_next, wgray_next}; assign waddr = wbin[DEPTH- : ];
assign wbin_next = wbin + (wr_en & ~wr_full);
assign wgray_next = wbin_next ^ (wbin_next >> ); /*---------------synchro rp and wp--------------------------*/
//synchro rp
always@(posedge clk_wr or negedge rst_n_wr)
if(!rst_n_wr)
{wr2_rp, wr1_rp} <= ;
else
{wr2_rp, wr1_rp} <= {wr1_rp, rp}; //delay two clock //synchro wp
always@(posedge clk_rd or negedge rst_n_rd)
if(!rst_n_rd)
{rd2_wp, rd1_wp} <= ;
else
{rd2_wp, rd1_wp} <= {rd1_wp, wp}; /*---------------empty and full flags--------------------------*/
//gen rd_empty
assign rd_empty_val = (rd2_wp == rgray_next);
always@(posedge clk_rd or negedge rst_n_rd)
if(!rst_n_rd)
rd_empty <= 'b1;
else
rd_empty <= rd_empty_val; //gen wr_full, two high bit do not equal
assign wr_full_val = ({~wr2_rp[DEPTH : DEPTH-], wr2_rp[DEPTH- : ]} == wgray_next);
always@(posedge clk_wr or negedge rst_n_wr)
if(!rst_n_wr)
wr_full <= 'b0;
else
wr_full <= wr_full_val; endmodule
Asyn_FIFO.v
注意wire、reg类型的赋值。
异步FIFO的verilog实现与简单验证(调试成功)的更多相关文章
- 异步FIFO及verilog原码
这几天看了Clifford E. Cummings的两篇大作<Simulation and Synthesis Techniques for Asynchronous FIFO Design&g ...
- 异步FIFO总结+Verilog实现
异步FIFO简介 异步FIFO(First In First Out)可以很好解决多比特数据跨时钟域的数据传输与同步问题.异步FIFO的作用就像一个蓄水池,用于调节上下游水量. FIFO FIFO是一 ...
- 异步fifo的Verilog实现
一.分析 由于是异步FIFO的设计,读写时钟不一样,在产生读空信号和写满信号时,会涉及到跨时钟域的问题,如何解决? 跨时钟域的问题:由于读指针是属于读时钟域的,写指针是属于写时钟域的,而异步FIFO ...
- 同步fifo与异步fifo
参考以下帖子: https://blog.csdn.net/hengzo/article/details/49683707 https://blog.csdn.net/Times_poem/artic ...
- 基于FPGA的异步FIFO验证
现在开始对上一篇博文介绍的异步FIFO进行功能验证,上一篇博文地址:http://blog.chinaaet.com/crazybird/p/5100000872 .对异步FIFO验证的平台如图1所示 ...
- Verilog学习笔记简单功能实现(八)...............同步FIFO
Part 1,功能定义: 用16*8 RAM实现一个同步先进先出(FIFO)队列设计.由写使能端控制该数据流的写入FIFO,并由读使能控制FIFO中数据的读出.写入和读出的操作(高电平有效)由时钟的上 ...
- 怎么用Verilog语言描述同步FIFO和异步FIFO
感谢 知乎龚大佬 打杂大佬 网上几个nice的博客(忘了是哪个了....) 前言 虽然FIFO都有IP可以使用,但理解原理还是自己写一个来得透彻. 什么是FIFO? Fist in first out ...
- Verilog设计异步FIFO
转自http://ninghechuan.com 异步FIFO有两个异步时钟,一个端口写入数据,一个端口读出数据.通常被用于数据的跨时钟域的传输. 同步FIFO的设计.一个时钟控制一个计数器,计数器增 ...
- 异步fifo的设计
本文首先对异步 FIFO 设计的重点难点进行分析 最后给出详细代码 一.FIFO简单讲解 FIFO的本质是RAM, 先进先出 重要参数:fifo深度(简单来说就是需要存多少个数据) ...
随机推荐
- SpringBoot与SpringCloud的版本对应详细版
大版本对应: Spring Boot Spring Cloud 1.2.x Angel版本 1.3.x Brixton版本 1.4.x stripes Camden版本 1.5.x Dalston版本 ...
- 通用mapper版+SpringBoot+MyBatis框架+mysql数据库的整合
转:https://blog.csdn.net/qq_35153200/article/details/79538440 开发环境: 开发工具:Intellij IDEA 2017.2.3 JDK : ...
- js判断是否安装某个android app,没有安装下载该应用(websocket通信,监听窗口失去焦点事件)
现在经常有写场景需要提示用户下载app, 但是如果用户已经安装,我们希望是直接打开app. 实际上,js是没有判断app是否已经安装的方法的,我们只能曲线救国. 首先,我们需要有call起app的sc ...
- SLES Install
SUSE Linux Enterprise Server 12-SP3:zypper in -t patch SUSE-SLE-SERVER-12-SP3-2017-2036=1 To bring y ...
- 学习Xen
先找到两个大佬博客 进行学习 http://www.cnblogs.com/BloodAndBone/archive/2010/11/02/1866907.html https://www.cnblo ...
- C语言博客作业6---结构体&文件
C语言博客作业6---结构体&文件 1.本章学习总结(2分) 1.1思维导图 请以思维导图总结本周的学习内容.如下图所示: 1.2.学习体会 描述本周学习感受,也可以在这里提出你不理解地方.对 ...
- 分布式一致性算法2PC和3PC
为了解决分布式一致性问题,产生了不少经典的分布式一致性算法,本文将介绍其中的2PC和3PC.2PC即Two-Phase Commit,译为二阶段提交协议.3PC即Three-Phase Commit, ...
- 接口性能测试(Jmeter)操作总结
以前常用SoapUI来做接口的性能测试,这次用的Jmeter,对需由客户端根据时间戳等登录参数生成随机token值和印签值来发请求的系统,非它莫属了.下面就这次测试的难点和操作注意问题展开总结. ** ...
- react源码第一天
1.下载源码:github 16.7版本 2.找到笔记:https://react.jokcy.me/book/api/react.html#
- EMQTT本地源码搭建填坑记录
因业务需求需要本地搭建一个emqtt的消息队列服务器,根据官网提示搭建失败,具体如下 官方步骤: Erlang 安装: http://www.erlang.org/ MSYS2 安装: http:// ...