simvision使用】的更多相关文章

Access Design Source Code: 1)通过file---open来打开, 2)通过send to source viewer来看, 双击信号,进行driver的trace,显示在左侧的driver/load tab或者直接转到下一个scope的source code file 查找: 1)右侧tool bar 2)edit-----search, signal的选择, 1)单击或者框选, 2)select---scope/port/signal 信号右键的功能: 1) Fol…
一.前言 很久没写技术博客了,有些懈怠,生活还得继续折腾.转眼工作一年多,时间越长越发觉得自己知之甚少,当然这跟IC行业技术密集有关.用空余时间在opencores网站上下载些小的IP看看 验证下,让自己对EDA tool, design, testbench, bus protocol都能有更好的认识.这次接触的是WISHBONE I2C Master Core.仿真验证工具是IES(Irun)+Simvision. 二.IP概述 这一IP也是直接从Opencores网站上下载,对于FPGA平…
仿真中的database主要存放关于signal transition以及时间点的信息. IUS中的的database包括: 1) SHM, Verilog/VHDL/mixed-language的database 2) VCD/EVCD, Verilog/VHDL/mixed-language的database Simulator的启动方式有两种: 1)Multi-step, ncvhdl [options] vhdl_source_files ncvlog [options] verilog…
VCD是一种ASCII码的文件,可以直接用gvim来打开.有两种格式:1)Four-state,  2) Extended, 相比较而言,Extended VCD会多一些strength的信息. VCD文件的产生,在initial的task中调用$dumpfile(file_name); $dumpvars(level, hierarchy1,hierarchy2); $dumpoff; $dumpon; $dumpall在VCD文件中创建checkpoint; $dumplimit(files…
Incisive simulator中的command-line language基于TCL. Ncsim> command [-modifier] [-options] [arguments] 其中command必须是小写的.路径可以用"/" "." ":"来作为分隔符.(verilog顶层不用加,VHDL顶层加:) Uvm中的顶层:uvm_pkg::uvm_top.top_levels[xx].leaf_name 其中通识符的使用: *…
ncsim仿真VHDL 1.文件列表 ctrl.vhd design_io.vhd tb.vhd compile.nc simulate.nc ./shm/shmtb.tcl 2. Compile你的VHDL设计文件[compile.nc] #!/bin/csh -f #---------------------------------------------------------------------- # -----------------------------------------…
In the Cadence Simvision waveform viewer, I can see every assertions listed as a hierarchical signal, and also can browse assertions and view their counts (failed, active, finished) as regular waveforms. Now in the Verdi, I cannot do like that. Does…
转载---http://www.cnblogs.com/zeushuang/archive/2012/11/14/2769640.html 仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究.说一下几种波形文件WLF(Wave Log File).VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件.shm.vpd: 1.对于WLF波形日志文件,只要我们使用过modelsim,应该都很熟.WLF(Wave L…
本文是记录项目过程中遇到的奇巧淫技,如有遗漏或者不足,请大家改正和补充,谢谢. 随着深亚微米技术的普及与发展,leakage功耗在整个功耗中的比重越来越大,比如45nm下,已经占到了60%以上,所以低功耗解决方案应运而生.目前已经有一套标准的低功耗设计流程,流程有CPF(cadence主导)和UPF(synopsys主导)两种,但技术趋势是UPF会大一统,所以本篇将为那些仍旧使用ncverilog而不是vcs仿真工具的苦逼们提供一些参考.目前常用的降低低功耗的方法有四种:多电压域.时钟门控.电源…
仿真是IC设计不可或缺的重要步骤,仿真后一般需要记录下波形文件,用于做详细分析和研究.说一下几种波形文件WLF(Wave Log File).VCD(Value Change Dump)文件,fsdb(Fast Signal DataBase)文件.shm.vpd: 对于WLF波形日志文件,只要我们使用过modelsim,应该都很熟.WLF(Wave Log File) 是Mentor Graphics 公司Modelsim支持的波形文件.但我们在波形窗口观察波形时,仿真结束时都会生成一个*.w…