预编译指令: verilog HDL预编译指令是以" ' "字符开头,而且不需要以";"结尾. 作用:指示在编译verilog hdl源代码前,需要执行哪些操作. 'timescale的使用方法: verilog hdl的时序分析是以时间先后为顺序的,时延用'timescale定义的时间单位来表示. 一般一个代码文件就用一个'timescale预编译指令,一般放在文件的开头. 格式:'timescale 时间单位/时间精度 时间单位由数字+单位组成,其中数字只能是1…
网上的关于DO文件的编写好像资料不多,比较杂,所以本人总结一下常用的简单语法,方便大家查看.其实本人也刚接触DO文件没多久,有纰漏很正常,欢迎指正批评,互相学习.PS:写得有点乱   还有一个值得注意的是 我在看到这篇文章的时候我正在仿真一个verilog文件,文件中调用了一个ROM , 但是我怎么仿真 rom的输出文件都有问题, 经过一个QQ好友的指点,我发现竟然是我 QUARTUS 下考过来的库文件 有问题, 自此提醒遇到过此问题 但还没有解决的同学.. 一.DO文件的简介和工作方式 DO文…
SYNOPSYS VCS Makefile文件编写与研究 这个Makefile是synopsys提供的模板,看上去非常好用,你只要按部就班提供实际项目的参数就可以了.我们来看这个文件的头部说明:makefile 其实完全可以用csh或其他脚本来编写,只是VCS使用的linux内置的make命令定义了一个标准的仿真脚本,make命令是专门用来做项目的源文件管理和编译控制的命令.这篇文章重点看synpsys的标准仿真脚本都做了哪些操作,然后使用其他脚本来实现.这里主要是自己写的一点东西,有些地方是猜…
网站 robots.txt 文件编写 Intro robots.txt 是网站根目录下的一个纯文本文件,在这个文件中网站管理者可以声明该网站中不想被robots访问的部分,或者指定搜索引擎只收录指定的内容. 当一个搜索机器人(有的叫搜索蜘蛛或爬虫)访问一个站点时,它会首先检查该站点根目录下是否存在robots.txt ,如果存在,搜索机器人就会按照该文件中的内容来确定访问的范围: 如果该文件不存在,那么搜索机器人就沿着链接抓取. robots.txt 必须放置在一个站点的根目录下,而且文件名必须…
一.Makefile文件编写 http://www.cnblogs.com/majiangjiang/articles/3218002.html 可以看下上面的博客,总结的比较全了,在此不再复述 二.OpenWRT驱动开发步骤 http://www.360doc.com/content/14/0319/20/5345087_361967240.shtml 这里有比较详细的介绍,发现都是别人总结过的东西,在此只是做下记录,方便以后查找,不再重复造车轮了.…
linux库文件的编写 作者: laomai地址: http://blog.csdn.net/laomai 本文主要参考了如下资料⑴hcj写的"Linux静态/动态链接库的创建和使用"地址 http://fanqiang.chinaunix.net/system/linux/2006-05-08/4126.shtml⑵雨亦奇的文章"LINUX动态链接库高级应用"地址http://www.ccw.com.cn/htm/center/prog/02_3_13_3_2.a…
VCS仿真生成fsdb文件(Verilog) 一.环境 Linux 平台 csh环境 VCS 64bit Verdi3 二.开始仿真 1. 联合仿真环境配置 a.在testbench中加入如下语句: initial begin $fsdbDumpfile("tb.fsdb"); $fsdbDumpvars;end b.注意verdi接口库的路径(脚本中体现) 2.仿真脚本 #!/bin/csh -f setenv NOVAS_HOME /user/EDA_Tools/Synopsys/…
VCS仿真生成vpd文件(verilog) 一.环境与文件 Linux平台  csh环境 VCS 64bit 代码文件请参考<一个简单的Verilog计数器模型> 二.开始仿真 1.compiler 首先在testbench中加入如下语句: initial begin $vcdpluson; end 命令调用vcs vcs -full64 -f file.f -debug_pp +vcd+vcdpluson 参数解释 file.f 是你的设计文件索引 +vcd+vcdpluson产生Synop…
Linux Makefile文件编写详细步骤与实践 1.makefile概述 Windows环境下IDE会帮你完成makefile文件的编写,但在UNIX环境下你就必须自己写makefile了,会不会写makefile,从一个侧面说明了一个人是否具备完成大型工程的能力.因为,makefile关系到了整个工程的编译规则.一个工程中的源文件不计数,其按类型.功能.模块分别放在若干个目录中,makefile定义了一系列的规则来指定,哪些文件需要先编译,哪些文件需要后编译,哪些文件需要重新编译,甚至于进…
该文由小居工作室(QQ:2482052910)    翻译并提供解答支持,原文地址:Pdf File Writer 中文应用(PDF文件编写器C#类库):http://www.cnblogs.com/wjs5943283/p/6528853.html 首先 Pdf File Writer  是一个很好用的PDF文件生成工具,由于作者对中文编码不熟悉,涉及到中文字体的输出会发生异常,经过本人多次测试,仿宋.黑体字体的中文还比较好,不容易发生异常.宋体测试很多次都没有成功,包括咨询了作者本人也没有得…