RTL结构图 采集模块运用SPI 通讯 MISO方式收集数据 module ad_collect(input sddata,input rst_n,output reg cs,output reg sclk,input clk,output [7:0]seg_data,output done); reg [7:0]cnt;reg[4:0]state;reg[7:0]data;reg rdone; always@(posedge clk or negedge rst_n ) begin if(cs…
版本:第一版作者:周新稳 杨帅 日期:20160226 =========================== 本资料高清PDF 下载: http://pan.baidu.com/s/1c1uuhLQ 源代码包下载: http://pan.baidu.com/s/1LSuXw =========================== 简介 本应用笔记主要说明如何在VB开发环境下基于RS485实现4-20mA电流采集. 系统组成及工作原理 系统组成如图1所示,主要包括 PT100铂电阻温度传感器.S…
简介 4-20mA电流环具有广泛的应用前景,在许多行业中都发挥着重要作用.本文主要介绍了以太网接口的4-20mA电流采集模块在VC++环境下进行温度采集,实现WINDOWS平台对数据的采集.分析及显示. 系统组成及工作原理 系统组成主要包括PT100铂电阻.SBWZ温度变送器.4-20mA电流采集模块(GM4008)以及上位机软件组成,如图1所示. PT100铂电阻温度传感器:利用铂金属阻值随温度的变化而变化的特性制成的一种温度传感器,主要用来测量温度的变化量. SBWZ温度变送器:一种现场安装…
版本:第一版 作者:毛鹏 杨帅 日期:20151108 简介 4-20mA电流环具有广泛的应用前景,在许多行业中都发挥着重要作用.本文主要介绍了以太网接口的4-20mA电流采集模块在VC#环境下进行温度采集,实现WINDOWS平台对数据的采集.分析及显示. 系统组成及工作原理 系统组成主要包括PT100铂电阻.SBWZ温度变送器.4-20mA电流采集模块(GM4008)以及上位机软件组成,如图1所示. 图1 系统组成框图 PT100铂电阻温度传感器:利用铂金属阻值随温度的变化而变化的特性制成的一…
之前一直没搞明白模拟电压转换电路,不知道应该怎么计算转换电压,最近一个项目中用到几处模拟电压的采集,硬件是由其他同事设计的,转换公式也是他给的,记录一下: 24V电压采集: 公式:Vout = Vin/(100+10)*10/(2+100)*100 12V电压采集: 公式:Vout = Vin/(10+2)*2/(2+100)*100 5V电压采集: 公式:Vout = Vin/(1+1)*1/(2+100)*100 3.3V电压采集: 公式:Vout = Vin/(1+4.7)*4.7/(2+…
简介 4-20mA电流环具有广泛的应用前景,在许多行业中都发挥着重要作用.本文主要介绍在Qt开发环境下基于RS485实现4-20mA电流采集,实现WINDOWS平台对数据的采集.分析及显示. 系统组成及工作原理 系统组成主要包括PT100铂电阻.SBWZ温度变送器.4-20mA电流采集模块(GM4008)以及上位机软件组成,如图1所示. PT100铂电阻温度传感器:利用铂金属阻值随温度的变化而变化的特性制成的一种温度传感器,主要用来测量温度的变化量. SBWZ温度变送器:一种现场安装式温度变送单…
第30章     ADC—电压采集 全套200集视频教程和1000页PDF教程请到秉火论坛下载:www.firebbs.cn 野火视频教程优酷观看网址:http://i.youku.com/firege 本章参考资料:<STM32F4xx中文参考手册>ADC章节. 学习本章时,配合<STM32F4xx中文参考手册>ADC章节一起阅读,效果会更佳,特别是涉及到寄存器说明的部分. 30.1 ADC简介 STM32F429IGT6有3个ADC,每个ADC有12位.10位.8位和6位可选,…
基于PCIe的多路视频采集与显示子系统 1        概述 视频采集与显示子系统可以实时采集多路视频信号,并存储到视频采集队列中,借助高效的硬实时视频帧出入队列管理和PCIe C2H DMA引擎,将采集到的视频帧实时传递到上位机采集缓冲区. 视频采集与显示子系统使用高效的PCIe H2C DMA引擎读取上位机显示缓冲区的视频帧,存储到视频显示队列中,并且可以借助外部输入的硬件显示定时脉冲实时访问视频显示队列,按照显示定时脉冲输出视频帧. 对于多路视频采集与显示子系统,在上位机可以使用标准的L…
一.实验任务 利用FPGA进行代码开发,使蜂鸣器演奏出乐曲<生日快乐>,将音调显示在数码管.原理为蜂鸣器为交流源蜂鸣器,在引脚上加一定频率的方波就可以发声,而且发声的频率由所加方波决定.这样我们就可以根据无源蜂鸣器的原理进行发声练习了. 二.代码实现 由于需要蜂鸣器发声且数码管显示音调,所以我们将代码分为两部分. 第一部分用于产生音调的方波.第二部分为数码管显示. (一)产生音调 (1)PreDiv 预置分频数模块 将48M晶振分频12M,再计算得出各个音调的频率,公式为12M÷音调频率÷2,…
AVR单片机8位数码管显示的程序实现 转载:http://www.sohu.com/a/117255149_119709   2016-10-26 16:30 我们接着来完成 数码管的显示实验.现在我们开始动手编写程序: 根据前面的介绍,我们应该已经能够知道编写一个 的C语言程序的基本步骤和方法了. 下面给出这个程序的主程序文件,在这个程序中我们应该能够知道这个程序都包含了那几块,具体来说我们应该能够在这个程序中把以下几个部分找出来:预编译语句. 的定义.函数的声明.主函数.函数定义.如果你还不…
今天这篇文章是要修改之前的一个错误,前面我写过一篇基于FPGA的串口发送图片数据至VGA显示的文章,最后是显示成功了,但是显示的效果图,看起来确实灰度图,当时我默认我使用的MATLAB代码将图片数据转化后是灰度图片,直到前一阵我才发现,其实并不是这样.MATLAB代码转化出来的图片数据就是8位的彩色图片数据,只不过当数据小于16'h10时,MATLAB生成的数据便是A.B.E,但是串口调试助手会怎么识别呢!如下图(前方多图高能) 这是我发送的十六进制为0的数据,而串口却显示发送的是FF,显然数据…
/******************************************* 程序功能:利用单线制DS18B20温度传感器 LED数码管显示当前的温度值 ********************************************/ #include <reg51.h>                 //DS18B20温度传感器程序 code unsigned char seg7code[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92, 0x82,0…
嵌入式设备中数码管显示“0~F”的方式是:定义了一个数组,里面含有16个元素,分别代表0~F,这样可以方便以后的调用.共阳极数码管编码表:unsigned char table[]={0xc0,0xf9,0xa4,0xb0,0x99,0x92,0x82,0xf8,0x80,0x90,0x88,0x83,0xc6,0xa1,0x86,0x8e}; 共阴极数码管编码表: unsigned char table[]={0x3f,0x06,0x5b,0x4f,0x66,0x6d,0x7d,0x07,0x…
市面上最常用的数码管为七段/八段显示,八段数码管比七段数码管多一个发光二极管单元(比七段数码管多一个点),又按能显示多少个"8"可分为1位.2位.4位等等.数码管又分为共阳极驱动/共阴极驱动,共阳极驱动是指将所有发光二极管的阳极接到一起形成公共阳极(COM)的驱动方式,共阳数码管在应用时应将公共极COM接到+5V:共阴极驱动则是将所有发光二极管的阴极接到一起形成公共阴极(COM)的驱动方式,且共阴数码管在应用时应将公共极(COM)接到地线GND上.        VINKA/永嘉微电-…
一.原理总结   利用两个寄存器 R4 和 R5 来存储两个数码管的显示数字,R4 用来存储前一个数码管显示数字,而 R5 用来存储后一个数码管显示数字,利用左移操作 RLC 取 A 中首位放入 C 中,然后将 C 输入到数码管中,使用 R0 来控制循环,对于 R4 和 R5 重复上述过程各自重复8次,使得当数码管中每位都有数据时,数码管便会显示,之后使用停顿函数使数码管上数字停留一段时间. 二.程序分析 图示部分: 代码部分: ; Reset Vector org 0000h jmp Star…
一.原理总结 利用两个寄存器R4和R5来存储两个数码管的显示效果,R4是前一个数码管显示所需,而R5是后一个数码管显示所需,利用左移操作RLC来使之每一位被依次输入到C中,然后将C输入到LED中(当LED每位都有数据时,数码管才会显示),利用停顿函数使数码管上数字停留一段时间. 二.程序分析 以下为全部代码,之后会进行具体分析 总体代码 ;==================================================================== ; Main.asm…
转载请注明出处:http://www.cnblogs.com/connorzx/p/3633860.html 分频是基于计数器程序.由于FPGA的并行处理能力,根本不需要单片机式的中断指令,用起来很方便. 数码管是靠段选和片选控制的.即所有数目管公用一套八段LED选择端,每个管的亮灭靠片选控制.只要刷新频率够高,就能出现连续的效果. 代码如下: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use…
本文主要是学习按键消抖和数码管动态显示,秒表显示什么的,个人认为,拿FPGA做秒表真是嫌钱多. 感谢 感谢学校和至芯科技,笔者专业最近去北京至芯科技培训交流了一周.老师的经验还是可以的,优化了自己的代码也学习了新的知识.北京是个好地方,故宫没有想象中的那么大,但人真是多到密集恐惧症.至芯科技的最小开发板设计的一般般... 言归正传,本次主要实现数字数码管的主要功能,按键触发:秒表开始,暂停,记录,回显.一共四个按键,第一个按键控制全局复位,第二个按键控制秒表的开始与暂停,第三个按键控制秒表在运行…
vga 640x480 qvga vga的1/4,宽高分别是vga的一半 (1)采集类型 海康威视 DS-2CE16A2P-IT3P 700TVL 1/3" DIS ICR 红外防水筒型摄像机 http://www.51anf.com/goods-18.html 将VGA采集卡插入PC机的PCI插槽里,把接口辫子线接在卡上固定好,辫子线上有两个接口,一个是VGA IN(接VGA信号源的输入),另一个是VGA OUT(VGA信号源环通输出) http://32013898.blog.163.com…
精通epoll架构 epoll:Linux中最优秀的多路复用机制! 与select .poll区别 1.select和poll没有太大区别,除了select有文件描述符限制(1024个).select每次调用都需要将fd集合拷贝到内核态,且监听过程遍历所有的文件位置,开销很大. 2.Epoll监测无上限,在注册新事件时就会一次性把所有fd拷贝到内核,无序遍历即可查询到监听位置,提高效率. 优势:1)多路复用:  2)阻塞IO:  3)无需遍历所有文件即可知道错误的文件位置(高效)  4)监控文件…
前言 购买T12烙铁的相关配件已经1年多了,期间也尝试了一些开源的T12控制器,但都没有成功,要么是配套资料少,要么是英文的,其中51和arduino的居多,STM32的较少.求人不如求己,索性自己开发一个吧!现把制作过程和工作原理记录一下. 项目开源地址:https://github.com/Cai-Zi/STM32_T12_Controller Gitee:https://gitee.com/Cai-Zi/STM32_T12_Controller PCB:https://oshwhub.co…
先讲讲里面的矩阵键盘,矩阵键盘列有下拉电阻,默认全为0000,默认行输入为1111,当有按键按下的时候,列输入会被拉高,这时控制行的输出做行扫描,电子琴用key_flag_r0电平作为使能,这里用key_flag消抖后与键值进行按位与,作为相应按键的判断条件. module juzhen(input clk,input rst_n,input [3:0] col_data,output reg [3:0] row_data,output key_flag, //the mark of key i…
作者:秦老师,华清远见嵌入式学院讲师. 一.DS18B20简介 DS18B20是常用的数字温度计.DS18B20数字温度计提供9至12位(可配置)温度读数,表明该设备的温度. 信息通过单总线接口被发送到DS18B20或从DS18B20发出,因此从中央微处理器到DS18B20只有需要一个线(和地线). 读,写和进行温度转换所需要的电源,可以由数据线本身来提供,无需外部电源. 由于每个DS18B20含有唯一的序列号,多个DS18B20可以挂载在一条单总线上.这允许在许多不同的地方,放置温度传感器.…
Selenium 也是一个用于Web应用程序测试的工具.Selenium测试直接运行在浏览器中,就像真正的用户在操作一样.支持的浏览器包括IE(7.8.9).Mozilla Firefox.Mozilla Suite.Google Chrome等.这个工具的主要功能包括:测试与浏览器的兼容性——测试你的应用程序看是否能够很好得工作在不同浏览器和操作系统之上.测试系统功能——创建回归测试检验软件功能和用户需求.支持自动录制动作和自动生成 .Net.Java.Perl等不同语言的测试脚本.Selen…
1.ADC 简介 ADC 支持多达14 位的模拟数字转换,具有多达12 位有效数字位.它包括一个模拟多路转换器,具有多达8 个各自可配置的通道:以及一个参考电压发生器.转换结果通过DMA 写入存储器.还具有若干运行模式. ADC 的主要特性如下: ● 可选的抽取率,这也设置了分辨率(7 到12 位)● 8 个独立的输入通道,可接受单端或差分信号● 参考电压可选为内部单端.外部单端.外部差分或AVDD5● 产生中断请求● 转换结束时的DMA 触发● 温度传感器输入● 电池测量功能 2.ADC 操作…
写在前面   最近公众号的活动让更多的人加入交流群,尝试提问更多的我问题,群主也在积极的招募更多的小伙伴与我一起分享,能够相互促进.   这里总结群友经常问,经常提的两个问题,并给出我的回答: (1)啥时候能出教程,能够讲解PCL中的各种功能? (2)如何解决大规模点云的问题呢?     以下给出正式的解答以及计划安排 问题1:对于出PCL的教程,其实网上资料已经有很多,但是没有十分系统的资料,对于该问题,我也在想该如何去做,本人将会在后期的计划中慢慢推出系统的学习教程,实现理论与代码并行的PC…
在很多DevExpress的使用例子里面,我们可以看到,基于GridView实现的不同控件展示的时候,每一列的控件类型都是一样的,如果我要某一列的一行让用户可以从下列列表选择选项,而其他行不可选择,那我们可以实现这种效果吗,应该如何实现? 1.GridView实现的显示效果 例如下面的效果就是我希望达到的,在第一行的流程处理人列允许用户选择,其他行禁止用户选择. 单用户单击第一行的“流程处理人”列的时候,弹出一个列表供用户选择,选择后显示具体的人员的姓名即可. 2.功能实现具体步骤 实现上面所说…
系统框图 前面我们设计了基于FPGA的静态图片显示,接下来我们来做做基于FPGA的动态图片显示,本实验内容为:由PC端上位机软件通过串口发送一幅图像数据至FPGA,FPGA内部将图像数据存储,最后扫描到VGA屏幕上显示. 从系统框图上我们可以看到,可以划分为三个部分进行设计,一个是串口接收部分,然后是RAM数据存储部分,最后是VGA驱动显示部分.这里串口接收部分只需要用到串口接收代码,代码很多书上都有,我这里就不贴出来了, 数据存储部分需要使用的是双口RAM IP Core,一端将数据写入RAM…
在年前的一篇文章中,我提到要学习Qt.于是在这学期看了一个月的qt.现在大致对qt有了一些了解.但是现在导师又把我调到了android应用开发平台,所以说qt的学习要搁置了.本打算这学期做一个单词查询软件的,目测只有在暑假完成了,现在才刚刚完成了本地词库的查询.目前的工作解决了词库的来源.本地词典的查询功能.日后的工作还有访问网络词库.记单词的算法实现等等.下面来说一说我在本地查词功能实现的问题-显示音标! 我们知道,qt中讲的比较多的是如何显示中文.一般情况都可以用下面代码来解决: QText…
1.   单片机驱动蜂鸣器的实验: a)         说明:Lab51单片机实验板的蜂鸣器连接到单片机的P1.5 b)        基本要求:控制蜂鸣器每2秒响0.5秒. #include <reg51.h> #define unit unsigned int void delay(unit x){ unit i=x; unit j; ;--i){ ;--j); } } void main() { ){ P1=0x00; delay);   //0.5秒 P1=0xff; delay);…