菜鸟nginx源代码剖析数据结构篇(六) 哈希表 ngx_hash_t(上) Author:Echo Chen(陈斌) Email:chenb19870707@gmail.com Blog:Blog.csdn.net/chen19870707 Date:October 31h, 2014 1.哈希表ngx_hash_t的优势和特点 哈希表是一种典型的以空间换取时间的数据结构.在没有冲突的情况下,对随意元素的插入.索引.删除的时间复杂度都是O(1).这样优秀的时间复杂度是通过将元素的key值以ha…
  菜鸟nginx源代码剖析数据结构篇(七) 哈希表 ngx_hash_t(下)   Author:Echo Chen(陈斌) Email:chenb19870707@gmail.com Blog:Blog.csdn.net/chen19870707 Date:Nov 3rd, 2014 在前面一篇文章<菜鸟nginx源代码剖析数据结构篇(六) 哈希表 ngx_hash_t(上)> 里介绍了 普通哈希表 和 带有通配符的哈希表 的基本结构和初始化方法,因为篇幅的原因未能解析完结.这篇继续解源代…
菜鸟nginx源代码剖析数据结构篇(一)动态数组ngx_array_t Author:Echo Chen(陈斌) Email:chenb19870707@gmail.com Blog:Blog.csdn.net/chen19870707 Date:October 20h, 2014 1.ngx_array优势和特点 ngx_array _t是一个顺序容器.支持达到数组容量上限时动态改变数组的大小,类似于STL中vector.具有下面特性: 下标直接索引.訪问速度快 动态增长 由slab内存池统一…
菜鸟nginx源代码剖析数据结构篇(八) 缓冲区链表 ngx_chain_t Author:Echo Chen(陈斌) Email:chenb19870707@gmail.com Blog:Blog.csdn.net/chen19870707 Date:Nov 6th, 2014 1.缓冲区链表结构ngx_chain_t和ngx_buf_t nginx的缓冲区链表例如以下图所看到的.ngx_chain_t为链表.ngx_buf_t为缓冲区结点: 2.源码位置 头文件:http://trac.ng…
菜鸟nginx源代码剖析数据结构篇(十) 自旋锁ngx_spinlock Author:Echo Chen(陈斌) Email:chenb19870707@gmail.com Blog:Blog.csdn.net/chen19870707 Date:Nov 11th, 2014 自旋锁(Spinlock)是一种 Linux 内核中广泛运用的底层同步机制. 自旋锁是一种工作于多处理器环境的特殊的锁,在单处理环境中自旋锁的操作被替换为空操作. 当某个处理器上的内核运行线程申请自旋锁时,假设锁可用.则…
菜鸟nginx源代码剖析数据结构篇(九) 内存池ngx_pool_t Author:Echo Chen(陈斌) Email:chenb19870707@gmail.com Blog:Blog.csdn.net/chen19870707 Date:Nov 11th, 2014 今天是一年一度的光棍节.还没有女朋友的程序员童鞋最好还是new一个出来,内存管理一直是C/C++中最棘手的部分.远不止new/delete.malloc/free这么简单. 随着代码量的递增,程序结构复杂度的提高.今天我们就…
菜鸟nginx源代码剖析 框架篇(一) 从main函数看nginx启动流程 Author:Echo Chen(陈斌) Email:chenb19870707@gmail.com Blog:Blog.csdn.net/chen19870707 Date:Nov 9th, 2014 俗话说的好.牵牛要牵牛鼻子 驾车顶牛,处理复杂的东西,仅仅要抓住重点,才干理清脉络.不至于深陷当中,不能自拔.对复杂的nginx而言,main函数就是"牛之鼻",仅仅要能理清main函数.就一定能理解当中的奥秘…
新秀nginx源代码分析数据结构篇(四)红黑树ngx_rbtree_t Author:Echo Chen(陈斌) Email:chenb19870707@gmail.com Blog:Blog.csdn.net/chen19870707 Date:October 27h, 2014 1.ngx_rbtree优势和特点 ngx_rbtree是一种使用红黑树实现的关联容器.关于红黑树的特性,在<手把手实现红黑树>已经具体介绍,这里就仅仅探讨ngx_rbtree与众不同的地方:ngx_rbtree红…
nginx源代码分析数据结构篇(两) 双链表ngx_queue_t Author:Echo Chen(陈斌) Email:chenb19870707@gmail.com Blog:Blog.csdn.net/chen19870707 Date:October 20h, 2014 1.ngx_queue优势和特点 ngx_queue作为顺序容器链表.它优势在于其能够高效地运行插入.删除.合并操作,在插入删除的过程中,仅仅须要改动指针指向.而不须要拷贝数据,因此.对于频繁改动的容器非常适合. 此外,…
菜鸟nginx源代码剖析 配置与部署篇(一) 手把手配置nginx "I love you" Author:Echo Chen(陈斌) Email:chenb19870707@gmail.com Blog:Blog.csdn.net/chen19870707 Date:Nov 7th, 2014 还记得在前几年的CSDN泄漏账号事件中.统计发现程序猿的账号中含有love的最多,这里我也俗套下.在这篇文章中将解说怎样 一步一步有用Nginx在一台机器上搭建一个最简单的显示"I…