verilog if语句】的更多相关文章

1)所有综合工具都支持的结构:always,assign,begin,end,case,wire,tri,aupply0,supply1,reg,integer,default,for,function,and,nand,or,nor,xor,xnor,buf,not,bufif0,bufif1,notif0,notif1,if,inout,input,instantitation,module,negedge,posedge,operators,output,parameter.     (2…
1.if语句.case语句必须放在always过程语句块中. 2.verilog的系统函数比如:\(display/\)monitor必须放在initial 过程语句块中.这点尚为理解为何,但必须这样用. 明明不是,initial语句块仅仅执行一次吗?可是$monitor等函数会执行好多次的.…
有时候在case语句中会有不同选择执行相同操作的情况,为了简化代码,可以将其合并. 以下解答来自百度知道(由于排版问题,有相应修改): reg [1:0]addr_cnt=2'b11; reg read=1'b1; always@(posedge clk_40M) begin addr_cnt <= addr_cnt + 1'b1; case(addr_cnt) 2'b00,2'b01: read <= 1'b0;//对某变量完全相同的操作,逗号隔开不同的分支 2'b10: read <…
a.基本形式 1) if(表达式) 语句1: 2)if(表达式) 语句1: else 语句1 3) if(表达式1) 语句1: else   if(表达式2) 语句2: else   if(表达式3) 语句3: …. else   if(表达式m) 语句m: else 语句n; b.优先级 if语句是有优先级的,第一个if优先级最高,最后一个else优先级最低. 对于形式2).3)而言,if只执行其中的一条判断后面的语句,一旦有条件满足,则整个if语句都将结束:即当某一条件为真时,执行其后语句,…
h Verilog HDL语言和C语言一样也提供编译预处理的功能.在Verilog中为了和一般的语句相区别,这些预处理语句以符号"`"开头,注意,这个字符位于主键盘的左上角,其对应的上键盘字符为"~",这个符号并不是单引号"'".这里简单介绍最常用的`define `include `timescale. 1)宏定义`define 用一个指定的标识符(名字)来代表一个字符串,其的一般形式为: `define 标识符(宏名) 字符串(宏内容) 如:…
本文主要学习Verilog的仿真特性,以及仿真器对Verilog的处理,算是对Verilog知识的增量学习.本文内容与我的另一篇博文(http://www.cnblogs.com/IClearner/p/7262653.html)一些有重叠的内容. 一.Verilog仿真特性 虽然现在SystemVerilog在仿真验证中占据主流的位置,不过了解一下Verilog是如何仿真的,对以后学习systemverilog也是有帮助的.本文主要学习verilog的一些仿真特性,因为一方面,若是写的代码质量…
函数和任务 函数 https://wenku.baidu.com/view/d31d1ba8dd3383c4bb4cd283.html verilog中函数的目的是允许代码写成模块的方式而不是定义独立的模块.函数通常用于计算或描述组合逻辑.如果在模块内定义一个函数,则既可以用连续赋值语句,也可以用过程赋值语句调用.函数可以有不只一个输入,但只能有一个输出,因为函数名本身就充当输出变量. verilog中函数还有以下几个特点: 1.函数必须在module块内调用. 2.函数内不能声明wire,所有…
[摘自夏宇闻<verilog设计教程>]一般情况下,Verilog HDL源程序中所有的行都将参加编译.但是有时希望对其中的一部分内容只有在满足条件才进行编译,也就是对一部分内容指定编译的条件,这就是“条件编译”.有时,希望当满足条件时对一组语句进行编译,而当条件不满足是则编译另一部分.          条件编译命令有以下几种形式:          1)   `ifdef 宏名 (标识符)                程序段1                `else          …
学习verilog generate语句时,偶然看到用generate语句来进行格雷码到二进制码转换的代码,就从网上找了一些案例来学习. 下表为几种自然二进制码与格雷码的对照表: 十进制数 自然二进制数 格雷码 十进制数 自然二进制数 格雷码 0 0000 0000 8 1000 1100 1 0001 0001 9 1001 1101 2 0010 0011 10 1010 1111 3 0011 0010 11 1011 1110 4 0100 0110 12 1100 1010 5 010…
一般情况下,Verilog HDL源程序中所有的行都参加编译.但是有时候希望对其中的一部份内容只有在条件满足的时候才进行编译,也就是对一部分内容指定编译的条件,这就是“条件编译”.有时,希望当满足条件时对一组语句进行编译,当条件不满足时则对另外一组语句进行编译.        条件编译命令的几种形式:        (1)`ifdef宏名(标识符)                                         程序段1                         `else…