一.ADC概念 实现模拟信号转换成数字信号就是这样子= = 二.代码框架 #include "stm32f10x.h" void delay(u32 kk) { while(kk--); } int main() { u16 ad=,adc0=; GPIO_InitTypeDef GPIO_InitStructure; ADC_InitTypeDef ADC_InitStructure; RCC_APB2PeriphClockCmd(RCC_APB2Periph_GPIOA|RCC_A…
一.STM32F103C8 引脚分布 二.LED的共阴.共阳接法 这里应该是七段数码管的接法. 限流电阻选择为470,在Multism中仿真,也需要接入,否则会出现闪烁情况.或者直接更改属性. 三.消除按钮的机械振动 1.键的特性 键盘是由若干独立的键组成,键的按下与释放是通过机械触点的闭合与断开来实现的,因机械触点的弹性作用,在闭合与断开的瞬间均有一个抖动过程 . 2.去抖动的方法 (1)硬件去抖动,主要是通过滤波电路. (2)软件去抖动,延时函数. 四.按钮控制灯 1.一对一 每一个按键的电…
一.串口通信概念 1.缩写 USART:Universal Synchronous/Asynchronous Receiver/Transmitter 通用同步/异步接收和发送器 2.用处 (1)同步通信 双方必须先建立同步,即双方的时钟要调整到一个频率,收发双发不停地发送和接受连续的同步比特流. (2)异步通信 接收端必须时刻做好接受准备,而发送端则可以选择何时进行发送,但是发送时需要加一个开始标志和一个结束标志,表示一个发送阶段. 异步通信的优势在于简单. 3.分类 4.STM32F103C…
一.中断的概念 中断可以理解为一个有激励给它就会立马给你响应的一个东东.就是说在你执行main函数的内容时,当有这个触发时便会跳转到这个中断内存,执行这段代码. Attention: 1.中断的用法,可以使用中断作为第二main函数,但是前提是必须中断代码的时间复杂度要远远低于main,才可以这样做. 2.中断也分优先级,也有中断的嵌套,这个需要根据相关的芯片去查对应的数据手册即可. 二.按键中断 1.使用按键控制LED灯的亮灭 #include "stm32f10x.h" unsig…
一.取模软件的使用 首先进行设置 然后可以生成显示这个字母的代码,列优先,先按列画8行,然后再继续画下一列.汉字为16*16,字母为8*8,对应生成相应个数的ox代码. 二.STM32烤写OLED #include "stm32f10x.h" #include "stm32f10x_gpio.h" #include "stm32f10x_rcc.h" #include "lcd.h" int main(void) { exte…
一.Keil开发软件安装 1.安装keil软件 2.使用注册机进行破解 将方框内的ID号复制到注册机,然后得到License,放到最底下就可以完成了. 二.Keil工程搭建 表示参考数据手册,在这里建议直接下载好一个历程,这样的话直接使用即可不需要再做过多的设置.在这里还是对一个已有的历程进行分析.如果要配置的话,则和这个历程的配置一致即可. 1.选择正确的芯片型号 这个是我们的芯片型号,如果要用不同的,则需要选择对应型号的芯片. 2.设置合适的频率 先按照默认的8Mhz进行处理 3.设置输出文…
配置 第一步:右击工程,选择Options 第二步:在General Options的Target选项卡里选择对应的器件Device,这里是MSP430G2231 第三步:在Debugger里选择FET Debugger: 第四步: 检查FET Debugger里的设置是否正确,这里是Texas Instrument USB-IF采用Automatic方式 这里选择Automatic与Automatic selection,当用串口会自动连接上串口. 第五步:编译程序,下载验证 电赛A题源码 小…
本人是NJUPT电子科学与技术专业大一摸鱼狗一枚.本博客旨在总结与分享个人准备电赛所学知识,同时也是为了防止遗忘,锻炼写文章的能力.目前电赛方向为自控方向.主要研究方向为单片机.图像处理.自动控制相关,往后会有数学建模等知识更新~ 本系列讲解2022年南京邮电大学电子设计竞赛(自控组)第一次积分赛试题,分享我所在小组的比赛思路与过程. 本文先写下前言,试试水~后续有多篇文章更新(如果有空的话)~ 话不多说,先上原题: 2022年南京邮电大学电子设计竞赛(自控组)第一次积分赛试题 A题:自适应姿态…
本系列所有文章 如何一步一步用DDD设计一个电商网站(一)—— 先理解核心概念 如何一步一步用DDD设计一个电商网站(二)—— 项目架构 如何一步一步用DDD设计一个电商网站(三)—— 初涉核心域 如何一步一步用DDD设计一个电商网站(四)—— 把商品卖给用户 如何一步一步用DDD设计一个电商网站(五)—— 停下脚步,重新出发 如何一步一步用DDD设计一个电商网站(六)—— 给购物车加点料,集成售价上下文 如何一步一步用DDD设计一个电商网站(七)—— 实现售价上下文 如何一步一步用DDD设计一…
一步步打造一个简单的 MVC 电商网站 - BooksStore(四) 本系列的 GitHub地址:https://github.com/liqingwen2015/Wen.BooksStore <一步步打造一个简单的 MVC 电商网站 - BooksStore(一)>(发布时间:2017-03-30 ) <一步步打造一个简单的 MVC 电商网站 - BooksStore(二)>(发布时间:2017-03-31) <一步步打造一个简单的 MVC 电商网站 - BooksSto…
「CSP-S模拟赛」2019第四场 T1 「JOI 2014 Final」JOI 徽章 题目 考场思考(正解) T2 「JOI 2015 Final」分蛋糕 2 题目 考场思考(正解) T3 「CQOI2014」数三角形 题目 考场思考 正解 这场考试还是同一个感觉:听音乐误事啊- 把 T1.T2T1.T2T1.T2 码出来之后,听音乐听到不想做题,但是 T3T3T3 又是一个注重思考的题-然后,我暴力都没码出来. 其实这次题的 T3T3T3 还是可做的,下次 好像就是 CSP 了 不要那么浪了…
一.分支语句 计算机源于生活,程序模拟现实生活,从而服务生活 行为模式 1,起床,刷牙,洗脸,吃早餐,上课,回家,睡觉(顺序性) 2,如果时间不太够,打个滴滴快车,如果时间够,坐个地铁(选择性) 3,上完了一个班,又上一个班(重复性) 计算机源于生活(程序模拟现实生活的) 程序的执行流程 ,顺序性 ,选择性 ,循环性 1.If结构语句 a) if语句 基本语法结构: if(关系表达式) { 基本语句体 } 执行流程: 首先判断关系表达式看其结果是true还是false 如果是true就执行…
最近学python,觉得python很强很大很强大,写一个学习随笔,当作留念注:xml.字典.json.类四种数据的转换,从左到右依次转换,即xml要转换为类时,先将xml转换为字典,再将字典转换为json,最后将json转换为类.1.解析xml文件:使用iterfind寻找节点,获取子节点方法 list(节点),获取节点属性 get(属性名),下一级节点的值findtextfrom xml.etree.ElementTree import parsetry: doc=parse('b.xml'…
一.特性参数 ·300M内部时钟频率 ·可进行频移键控(FSK),二元相移键控(BPSK),相移键控(PSK),脉冲调频(CHIRP),振幅调制(AM)操作 ·正交的双通道12位D/A转换器 ·超高速比较器,3皮秒有效抖动偏差 ·外部动态特性: 80 dB无杂散动态范围(SFDR)@ 100 MHz (±1 MHz) AOUT ·4倍到20倍可编程基准时钟乘法器 ·两个48位可编程频率寄存器 ·两个14位可编程相位补偿寄存器 ·12位振幅调制和可编程的通断整形键控功能 ·单引脚FSK和BPSK数…
一.特性参数 1.2.3V~5.5V供电 2.输出频率高达37.5MHz 3.正弦波.三角波输出 4.提供相位调制和频率调制功能 5.除非另有说明,VDD = 2.3 V至5.5 V,AGND = DGND = 0 V,TA = TMIN至TMAX,RSET = 6.8 k,RLOAD = 200 (对于IOUT和IOUTB). 二.芯片管脚图 三.管脚功能说明 管脚名称 功能 FS ADJUST 此引脚和AGND之间连接一个电阻(RSET),从而决定满量程DAC电流的幅度.RSET与满量程电流…
一.特性参数 1.180 MHz时钟速率参考时钟具有6倍倍乘器.芯片具有高性能10位DAC和高速滞后比较器 2.+2.7 V至+5.25 V单电源工作 3.正常输出工作频率范围为 0-72MHz ; 4.具有6倍频,所以只要30MHz的时钟供给即可. 二.功能管脚图 三.管脚说明 管脚名称 功能 D0–D7 8位数据输入. 数据端口,用于装载32位的频率控制字和8位相位控制字. D7为最高位,D0=最低位 D7, 25引脚, 也作为40位控制字串行输入引脚 PGND 6倍参考时钟倍乘器地 PVc…
对于这项曾经热爱的竞赛,不得不说这是我最后一年参加ACM比赛了,所以要珍惜每一次比赛的机会. 五一去杭电参加了赛码"BestCoder"杯中国大学生程序设计冠军赛,去的队伍包括了今年19支World final的队伍,几乎是全国最强的46所学校各出了一个代表队,十分感谢学校给了我这个大三的老年血手这次去比赛的机会. 比赛在5.2一天内完成,上午的热身赛居然是上一场Bestcoder的原题= =.虽然我们三个人都没做过...不过我还是水水的写了前两道题. 在中午的悲惨淋雨后,下午正赛开始…
一.特性参数 1.专门用来用音频处理的AD芯片 2.内部固定好8K的采样速率 3.8位AD芯片 二.内部结构图 三.芯片管脚图 四.管脚功能说明 管脚名称 功能 IN0~IN7 数据输入端 ABC 数据输入端口选择 ST ST 为转换启动信号.当ST 上跳沿时,所有内部寄存器清零:下跳沿时,开始进行A/D 转换:在转换期间,ST 应保持低电平. EOC EOC 为转换结束信号.当EOC 为高电平时,表明转换结束:否则,表明正在进行A/D 转换 OE OE为输出允许信号,用于控制三条输出锁存器向单…
一.特性参数 1.16位无失真AD转换器 2.增益可调,在1,2,32,128可切换. 3.数字地和模拟地分开,可以减少噪声. 4.具有较大的输出电流,有比较好的带载能力. 二.管脚排列 三.引脚功能 引脚名称 功能 SCLK 串行时钟,施密特逻辑输入.将一个外部的串行时钟加于这一输入端口,以访问AD7715 的串行数据.和单片机传输数据时的控制时钟 MCLKIN 为转换器提供主时钟信号.能以晶体/谐振器或外部时钟的形式提供.晶体/谐振器可以接在MCLKIN 和MCLKOUT 二引脚之间.此外,…
一.系统结构 1.基本要求 (1)话音/功率放大器增益均可调: (2)带通滤波器:通带为300Hz-3.4kHz : (3)ADC:采样频率f s=8kHz,字长不小于8位: (4)语音存储时间≥10秒: (5)DAC:变换频率f c=8kHz,字长不小于8位: (6)回放语音质量良好. 2.发挥部分 在保证语音质量的前提下: (1)减少系统噪声电平,增加自动音量控制功能: (2)语音存储时间增加至20秒以上: (3)提高存储器的利用率(在原有存储容量不变的前提下,提高语音存储时间): (4)把…
1.特性参数 (1)16位无丢失代码性AD转化器 (2)只需要3.3V供电(即只需要单片机即可供电) (3)双通道差分输入 (4)基准电压为2.5V时,在单极性信号下,输入范围是0到2.5V,在双极性输入下,输入范围是-1.25到+1.25 (5)低功耗CMOS芯片,功耗一般为20uW (6)可编程,可编程增益,以及降噪参数等. 2.引脚排列 3.引脚功能说明 管脚名称 功能 SCLK 串行时钟,施密特逻辑输入.将一个外部的串行时钟加于这一输入端口,以访问AD7705/7706 的串行数据.和单…
SpringBoot构建电商基础秒杀项目 学习笔记 BaseController 添加 public static final String CONTENT_TYPE_FORMED = "application/x-www-form-urlencoded"; UserController 添加 需添加 @CrossOrigin 注解,解决跨域问题 @Autowired private HttpServletRequest httpServletRequest; @RequestMapp…
题目来源:https://blog.csdn.net/qq_34202873/article/details/79784548 #标题:测试次数#x星球的居民脾气不太好,但好在他们生气的时候唯一的异常举动是:摔手机.#各大厂商也就纷纷推出各种耐摔型手机.x星球的质监局规定了手机必须经过耐摔测试,并且评定出一个耐摔指数来,之后才允许上市流通.#x星球有很多高耸入云的高塔,刚好可以用来做耐摔测试.塔的每一层高度都是一样的,与地球上稍有不同的是,他们的第一层不是地面,而是相当于我们的2楼.#如果手机从…
LINK:qiqi20021026的T1 考场上只拿到了50分的\(nq\)暴力. 考虑一个区间和一个区间配对怎么做 二分图最大带权匹配复杂度太高. 先考虑LCS的问题 常见解决方法是后缀数组/trie树. 一个贪心是 每次让贡献最大的一对配对是最优的策略. 具体证明可以利用扰动法 或者观察法. 即设\(p,q,l,r\) 分类讨论一下情况就行辣 怎么证明全局最优?可以发现任意两个匹配都是这样最优 交换会变得更差 这样应该可以说明全局最优了吧? 这个贪心直接做复杂度还是很高 可以考虑trie树上…
一 搜狗输入法安装 1  下载安装包:   http://pinyin.sogou.com/linux/ 2  安装安装包 (1)"GDebi",这是一个用于安装你自己手动下载包的GUI程序,它会根据软件仓库这一实用的特性,来解算依赖关系.也可以命令行模式运行,其功能和GUI模式下完全一样. 打开"终端",输入命令: sudo apt-get install gdebi,然后按enter执行来安装这款小工具"gdebi". (2)安装完成后,我们…
一.AD7890 1.特性参数 (1)高速12位DA,转换速度5.9us (2)具有8个通道. (3)串行通信 2.芯片管脚图 3.管脚功能 管脚名称 功能 AGND 模拟地 SMODE 控制端,"0"使用自己的时钟,"1"使用外部时钟 DGND 数字地 CEXT 外部电容器.一个外部电容连接到这个引脚,以确定内部脉冲的长度(见控制寄存器组).较大的电容对该引脚扩展脉冲允许通过外部抗混叠滤波器或信号调理电路的时间延迟. CONVST' 转换启动信号.边沿逻辑触发,从…
直接上程序即可 #ifndef __ADC_H #define __ADC_H #include "stm32f10x.h" #include "LCD3.2.h" void ADC1_Init(void); float adc_output(void); void ADC2_Init(void); float adc2_output(void); #endif /* __ADC_H */ /** **********************************…
一.特性参数 1.12位高速AD 2.高达40MSPS的高速AD芯片 3.噪声小 二.芯片管脚图 三.管脚功能说明 管脚名称 功能 CLK 参考时钟输入端 BIT12-1 数据输出端(1是低位,12是高位) OTR "1"超出参考电压范围,"0"表示不超出范围 AVDD 模拟电源输入端  +5V AVSS 模拟地 SENSE 参考源选择."1"选择内部的1.225V参考源,否则使用外接参考源 VREF 参考源输入端 REFCOM 参考源地 CAP…
一.题目要求: 1.使用555做出脉冲方波 2.使用TL084运放做出方波和锯齿波 3.使用TLM314稳压做直流偏置 4.方波要求峰峰值为1V,正弦波要求峰值为0~2V,锯齿波要求峰峰值为1V. 二.解题流程 1.使用555做出脉冲方波 (1)参数计算 (2)仿真设计图: (3)仿真波形 (4)实际操作中总结的经验 A.一个滑动变阻器十分的重要,我们需要购入一个,在正式比赛的时候.(如果要参加比赛,我们自己买一个或者做一个试验箱) B.虽然说,搭建成斯密特触发器,可以使得方波对称,但是实际进行…
转载请注明出处:http://blog.csdn.net/lhy_ycu/article/details/39856435 代理模式(Proxy):代理模式事实上就是多一个代理类出来,替原对象进行一些操作.比方咱有的时候打官司须要请律师,由于律师在法律方面有专长,能够替咱进行操作表达咱的想法,这就是代理的意思.代理模式分为两类:1.静态代理(不使用jdk里面的方法):2.动态代理(使用jdk里面的InvocationHandler和Proxy).以下请看演示样例: 一.静态代理 1.uml建模:…